当前位置: 首页 > news >正文

cms建站程序免费个人网站建站能上传视频吗

cms建站程序,免费个人网站建站能上传视频吗,织梦手机网站怎么修改密码,教育门户网站模板VSCode Verilog环境搭建 下载Iverilog安装Iverilog验证安装VS Code安装插件 下载Iverilog 官网下载Iverilog 安装Iverilog 一定要勾选这两项 建议勾选这两项 验证安装 运行Windows PowerShell输入命令:iverilog输入命令:Get-Command gtkwave …

VSCode Verilog环境搭建

  • 下载Iverilog
  • 安装Iverilog
  • 验证安装
  • VS Code安装插件

下载Iverilog

官网下载Iverilog
在这里插入图片描述

安装Iverilog

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
一定要勾选这两项
在这里插入图片描述
在这里插入图片描述
建议勾选这两项
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

验证安装

  • 运行Windows PowerShell
  • 输入命令:iverilog
  • 输入命令:Get-Command gtkwave
    在这里插入图片描述

VS Code安装插件

  • 搜索安装:Verilog-HDL插件
  • 搜索安装:Digital IDE插件
    在这里插入图片描述

在这里插入图片描述
创建counter.v文件

module counter(input clk,  // 27MHzoutput [5:0] led);parameter DELAY_MAX = 13_500_000;reg [$clog2(DELAY_MAX+1)-1 : 0] delay_cnt=0;reg [5:0] led_reg = 0;always @(posedge clk) beginif (delay_cnt == DELAY_MAX-1) begindelay_cnt <= 0;endelse begindelay_cnt <= delay_cnt + 1'b1;endendalways @(posedge clk) beginif (delay_cnt == DELAY_MAX -1) beginled_reg <= led_reg + 1'b1;endendassign led = ~led_reg;endmodule //moduleName

创建counter_tb.v (testbeanch测试实例)

`timescale 1ns/1nsmodule testbench();reg clk;wire [5:0] led;always # 1 clk=~clk;initial beginclk = 0;endinitial begin$dumpfile("wave.vcd");$dumpvars(0, testbench);#6000 $finish;endcounter #(.DELAY_MAX(5)) u_counter(.clk(clk),.led(led));endmodule

使用iverilog编译器将Verilog代码和测试文件编译成VVP文件:

  • 命令行执行:iverilog -o “test_tb.vvp” .\counter_tb.v .\counter.v

在命令行中运行生成的VVP文件,生成VCD波形文件:

  • vvp test_tb.vvp

使用GTKwave打开生成的VCD文件,查看仿真波形:

  • gtkwave.exe -L .\wave.vcd
    在这里插入图片描述
http://www.dtcms.com/a/516323.html

相关文章:

  • 「用Python来学微积分」8. 极限的概念
  • GJOI 10.17/10.18 题解
  • CAN总线的物联网桥梁:以太网网关如何赋能工业4.0
  • C语言需要掌握的基础知识点之递归
  • 建设网站学什么wordpress zip格式
  • RFSoC在射频阵列信号采集分析中的应用
  • [Agent可视化] 会话管理 | Redis缓存 | PostgreSQL持久化 | 智能上下文处理
  • [Agent可视化] 编排工作流(Go) | Temporal引擎 | DAG调度器 | ReAct模式实现
  • 自定义时间服务器主机的时间通过ntp.aliyun.com主机同步时间
  • 做移动端网站设计做交通事故的网站
  • 【论文精读】EvalCrafter:文本到视频生成模型的全面评测框架
  • 普林尼与LLM提示词注入:AI安全防线的隐秘挑战
  • 撰写标书很难吗?用AI标书工具写标书,快速输出优质投标方案
  • HTML 实体起始符号详解
  • 什么网站可以做二建的题目贵州安顺建设主管部门网站
  • 海南美容网站建设旅游网站建设的总结
  • 通过npm run XXX命令生成uniapp的pages.json文件
  • HTML教程——1,css
  • H5响应式网站数据app外包公司推荐
  • ubuntu服务器无法识别所有显卡
  • Spring中常用的设计模式
  • 做网站是什么公司wordpress禁止 逍遥
  • 不定高虚拟列表性能优化全解析
  • 怎么免费建立自己的网站平台2018威胁网站检测平台建设
  • Python-封装、继承与重写
  • 新媒体培训班学费多少做网站和优化
  • 网站开发案例pdf工程造价信息价在什么网站查
  • Prometheus(一)—— Prometheus监控系统从入门到实战:理论与部署全指南
  • 使用宝塔面板docker部署https://github.com/imsyy/DailyHotApi项目
  • RHCE作业2