当前位置: 首页 > news >正文

#SVA语法滴水穿石# (014)关于链式蕴含的陷阱

前面介绍了蕴含和 非蕴含操作符,#SVA语法滴水穿石# (001)关于 |-> 和 |=>

本以为两个小小的操作符,能有什么难度,知道大概意思,就算是掌握了,太过于骄傲了,今天,将最近遇到的陷阱,和大家分享一下。

1. 问题背景

假设,有下面一组握手信号。

目的:当req 上升之后,若干clk ack 上升,ack 上升后若干clk state 信号上升; state 信号上升之后,持续一个clk 后,req/ack/state 三个信号同时拉低。

随手写了下面一段断言语句:

property req_ack_handshake_1 ; 
   @(posedge clk)  
   $rose(req) |-> ##[1:$] $rose(ack) |-> ##[*] $rose(state) |-> ##1 ($fell(state) and $fell(req) and $fell(ack) ) ; 
endproperty

assert property (req_ack_handshake_1) ;
 

 仿真结果:始终显示incomplete 状态。 

http://www.dtcms.com/a/289211.html

相关文章:

  • python生成密钥
  • FreeSwitch编译部署
  • 去中心化协作智能生态系统
  • DataFrame数据的常用方法
  • LeetCode 刷题【8. 字符串转换整数 (atoi), 9. 回文数】
  • 国产HMC7044调试记录
  • 【Elasticsearch】合适的锅炒合适的菜:性能与成本平衡原理公式解析
  • 拓展面试题之-rabbitmq面试题
  • MySQL笔记3
  • 试用SAP BTP 02:试用SAP HANA Cloud
  • 【机器学习【9】】评估算法:数据集划分与算法泛化能力评估
  • 图的表示法以及实现
  • 【人工智能99问】长短期记忆网络(LSTM)的结构和原理是什么?(12/99)
  • ROS_INFO_STREAM, 模拟cout流输出机制的ROS输出接口
  • 《棒球知识科普》NBA、MLB选秀状元·棒球1号位
  • Everything介绍
  • 《杜甫传》读书笔记与经典摘要(一)
  • 事务的传播行为,分别在spring和mysql中讲解
  • PyTorch模型Ensemble实现
  • 14.多播与广播
  • Vue3.6 无虚拟DOM模式
  • 基于本机如何建立一个vue项目
  • Linux主机 ->多机器登录
  • Openlayers 面试题及答案180道(121-140)
  • Chapter 3 Design of Switching Power Regulators
  • 两个数据表的故事:第 1 部分
  • MacBook的ARM架构(M芯片)操作虚拟机的docker拉取镜像问题
  • 深入浅出理解动态规划
  • 【Lua】大G表
  • C++STL之stack和queue