当前位置: 首页 > news >正文

github有趣项目:Verilog在线仿真( DigitalJS+edaplayground)

DigitalJS

  • https://github.com/tilk/digitaljs
  • 这个项目是一个用Javascript实现的数字电路模拟器。 它旨在模拟由硬件设计工具合成的电路 像 Yosys(这里是 Github 存储库),它有一个配套项目 yosys2digitaljs,它可以转换 Yosys 将文件输出到 DigitalJS。它也旨在成为一种教学工具, 因此,可读性和易于检查是 项目。

创建文件

  • SystemVerilog 提供了更多高级功能,尤其是在验证方面,使其在现代设计流程中更具优势。SystemVerilog 向后兼容 Verilog,这意味着现有的 Verilog 代码可以在 SystemVerilog 环境中运行,而无需大幅修改。
    在这里插入图片描述
  • 创建一个sv文件
    在这里插入图片描述
    在这里插入图片描述

编写代码

module adder (
    input logic [3:0] a,  // 4-bit 输入 a , logic用于替代 reg(寄存器,过程赋值)和 wire (线网,连续赋值)
    input logic [3:0] b,  // 4-bit 输入 b
    output logic [4:0] sum // 5-bit 输出 sum,考虑到可能的进位
);
    assign sum = a + b; // 进行加法运算
endmodule

在这里插入图片描述

Run

在这里插入图片描述

加载示例

在这里插入图片描述
在这里插入图片描述

edaplayground使用教程

  • https://github.com/edaplayground/eda-playground
  • https://www.edaplayground.com/

注册账户

在这里插入图片描述

  • Register
    在这里插入图片描述
  • https://www.edaplayground.com/register
  • 经过测试,学生邮箱是可以用的
    在这里插入图片描述

在这里插入图片描述
在这里插入图片描述

运行D触发器示例

在这里插入图片描述

可更换仿真工具

在这里插入图片描述

波形查看和分析

在这里插入图片描述

  • 如果想使用EPWave,需要再testbench中加入类似如下的控制语句
initial begin
  $dumpfile("dump.vcd"); $dumpvars;
  end

在这里插入图片描述

相关文章:

  • 000002 - Hadoop环境安装
  • [Algorithm][动态规划][回文串问题][回文子串][最长回文子串][分割回文串Ⅳ]详细讲解
  • 深度解读CharGPT基本原理
  • PyTorch学习(12):PyTorch取极值(max, argmax, min, argmin)
  • 【JavaEE】Servlet
  • Google 解释AI 概览:关于上周的一些情况
  • (delphi11最新学习资料) Object Pascal 学习笔记---第14章泛型第2节(Object Pascal中的泛型)
  • 【2024年5月备考新增】】 考前篇(32)《必备资料(15) - 论文串讲-项目安全管理》
  • 大模型应用框架-LangChain
  • 计算机网络期末知识总结(第一章)
  • 【MyBatis】MyBatis操作数据库(二):动态SQL、#{}与${}的区别
  • 代码随想录-Day27
  • 【机器学习】探索未来科技的前沿:人工智能、机器学习与大模型
  • 学习小心意——python的构造方法和析构方法
  • 【图像处理与机器视觉】频率域滤波
  • YOLOv8+PyQt5海洋船只检测(可以重新训练,yolov8模型,从图像、视频和摄像头三种路径识别检测)
  • ESP使用巴法云远程OTA(VScode + Platform io)
  • Swoole 协程调度机制
  • 深度学习知识与心得
  • MFC工控项目实例之一主菜单制作
  • 欧盟委员会计划对950亿欧元美国进口产品采取反制措施
  • 国家卫健委:有条件的二级及以上综合医院要开设老年医学科
  • 纪录片《中国》原班人马打造,《船山先生》美学再升级
  • 圆桌丨权威专家解读中俄关系:在新形势下共同应对挑战、共创发展机遇
  • 中科院院士魏辅文已卸任江西农业大学校长
  • 60岁济南石化设计院党总支书记、应急管理专家李有臣病逝