当前位置: 首页 > news >正文

TestBench激励与待测

TestBench激励与待测

    • ✅ 一、TestBench 的作用
    • ✅ 二、例化的目的
    • ✅ 三、TestBench 中的信号类型选择
      • 🟢 输入端口(input) → 在 TestBench 中声明为 `reg`
      • 🟡 输出端口(output)→ 在 TestBench 中声明为 `wire`
    • ✅ 四、例化方式示例
    • ✅ 五、总结
    • ✅ 六、附加建议

你的这段内容描述了在 Verilog 或 SystemVerilog 中编写 TestBench(测试平台)时,如何正确地对待测模块(DUT, Design Under Test)进行例化。下面我将对你的理解做一个系统的梳理和补充说明:


✅ 一、TestBench 的作用

TestBench 是用于验证设计功能是否正确的仿真环境,它不参与综合,仅用于仿真。

  • 包括:
    • 待测模块(DUT)的例化
    • 激励信号的生成(输入)
    • 输出信号的监测与比对
    • 有时包括自动检查机制

✅ 二、例化的目的

你提到的非常正确:

“例化的目的就是把待测试设计和 TestBench 进行对接。”

通过例化,TestBench 可以向 DUT 提供输入激励,并观察其输出响应。


✅ 三、TestBench 中的信号类型选择

🟢 输入端口(input) → 在 TestBench 中声明为 reg

因为这些信号是由 TestBench 驱动的,需要赋值或产生激励波形,所以必须是 reg 类型。

例如:

reg clk;
reg rst_n;
reg [7:0] data_in;

🟡 输出端口(output)→ 在 TestBench 中声明为 wire

因为这些信号是由 DUT 驱动的,TestBench 只能观测,不能赋值,因此使用 wire 类型。

例如:

wire [7:0] data_out;
wire valid;

✅ 四、例化方式示例

假设有一个名为 my_module 的模块定义如下:

module my_module (input      clk,input      rst_n,input [7:0] data_in,output reg [7:0] data_out,output wire valid
);
...
endmodule

那么 TestBench 中的例化应如下所示:

module tb_my_module;// 声明与 DUT 接口对应的信号reg        clk;reg        rst_n;reg  [7:0] data_in;wire [7:0] data_out;wire       valid;// 实例化 DUTmy_module uut (.clk     (clk),.rst_n   (rst_n),.data_in (data_in),.data_out(data_out),.valid   (valid));// 激励生成部分initial beginclk = 0;rst_n = 0;#10 rst_n = 1;data_in = 8'h55;#20 data_in = 8'haa;#100 $finish;end// 时钟生成always #5 clk = ~clk;// 监控输出initial begin$monitor("Time=%0t: data_out=%h, valid=%b", $time, data_out, valid);endendmodule

✅ 五、总结

DUT 端口方向TestBench 中类型是否可赋值由谁驱动
inputreg✅ 是TestBench
outputwire❌ 否DUT
inoutwire❌ 否DUT 或 TestBench(双向)

✅ 六、附加建议

  • 使用 参数化模块 时,可以在例化时传递参数。
  • 使用 SystemVerilog 编写 TestBench 时,可以使用 logic 类型代替 regwire,更加灵活。
  • 推荐使用 $monitor$display$strobe 来调试输出结果。
  • 使用 initialalways 块生成各种激励信号。

如果你还有关于 TestBench 编写、覆盖率分析、自动化测试等方面的问题,也欢迎继续提问!

相关文章:

  • 驱动开发硬核特训 · Day 27(上篇):Linux 内核子系统的特性全解析
  • 引入spdlog后程序链接很慢
  • 系统思考:核心价值与竞争力
  • Python绘制误差棒:深入解析数据的不确定性
  • 比HashTable更先进的ConcurrentHashMap及其多线程协助扩容机制
  • 汇编常用语法
  • Spring的数据库编程
  • Linux:web服务
  • Warp调度器:藏在显卡里的时间管理大师
  • 【vue3】黑马程序员前端Vue3小兔鲜电商项目【八】
  • Flowable7.x学习笔记(十九)归还我的待办
  • ARM寻址方式
  • 【时时三省】(C语言基础)怎样定义和引用一维数组
  • 【Redis】Redis常用命令
  • 排序功法入门指南【江湖算法笔记】
  • 13.Excel:分列
  • 【论文阅读】LLMOPT:一种提升优化泛化能力的统一学习框架
  • Cona编译问题
  • 工程师 - What is EMF?
  • 工程师 - 小米汽车尾部主动扩散器
  • 降雪致长白山天池景区关闭,有游客在户外等待一小时,景区回应
  • 新华每日电讯“关爱青年成长”三连评:青春应有多样的精彩
  • 研究完蚂蚁搬家,我好像明白了为什么我们总是堵车
  • 德国斯图加特发生车辆冲撞人群事件,至少三人受伤
  • A股2024年年报披露收官,四分之三公司盈利
  • 一周文化讲座|那些年的年青人