当前位置: 首页 > news >正文

VSCode Verilog编辑仿真环境搭建

VSCode Verilog环境搭建

  • 下载Iverilog
  • 安装Iverilog
  • 验证安装
  • VS Code安装插件

下载Iverilog

官网下载Iverilog
在这里插入图片描述

安装Iverilog

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
一定要勾选这两项
在这里插入图片描述
在这里插入图片描述
建议勾选这两项
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

验证安装

  • 运行Windows PowerShell
  • 输入命令:iverilog
  • 输入命令:Get-Command gtkwave
    在这里插入图片描述

VS Code安装插件

  • 搜索安装:Verilog-HDL插件
  • 搜索安装:Digital IDE插件
    在这里插入图片描述

在这里插入图片描述
创建counter.v文件

module counter(input clk,  // 27MHzoutput [5:0] led);parameter DELAY_MAX = 13_500_000;reg [$clog2(DELAY_MAX+1)-1 : 0] delay_cnt=0;reg [5:0] led_reg = 0;always @(posedge clk) beginif (delay_cnt == DELAY_MAX-1) begindelay_cnt <= 0;endelse begindelay_cnt <= delay_cnt + 1'b1;endendalways @(posedge clk) beginif (delay_cnt == DELAY_MAX -1) beginled_reg <= led_reg + 1'b1;endendassign led = ~led_reg;endmodule //moduleName

创建counter_tb.v (testbeanch测试实例)

`timescale 1ns/1nsmodule testbench();reg clk;wire [5:0] led;always # 1 clk=~clk;initial beginclk = 0;endinitial begin$dumpfile("wave.vcd");$dumpvars(0, testbench);#6000 $finish;endcounter #(.DELAY_MAX(5)) u_counter(.clk(clk),.led(led));endmodule

使用iverilog编译器将Verilog代码和测试文件编译成VVP文件:

  • 命令行执行:iverilog -o “test_tb.vvp” .\counter_tb.v .\counter.v

在命令行中运行生成的VVP文件,生成VCD波形文件:

  • vvp test_tb.vvp

使用GTKwave打开生成的VCD文件,查看仿真波形:

  • gtkwave.exe -L .\wave.vcd
    在这里插入图片描述

相关文章:

  • 【Vue】 实现TodoList案例(待办事项)
  • 赛事季突围!备战2025全国信息素养大赛 python挑战赛~
  • 流量控制机制
  • 基于 Windows I/O 完成端口(IOCP)的多线程任务队列系统小case
  • 鬼泣:motion warping 总结
  • 通过漂移-扩散仿真研究钙钛矿-硅叠层太阳能电池中的电流匹配和滞后行为
  • 企业选择IT技术人员外包能解决哪些问题
  • Qwen多模态系列论文
  • Qwen3快速部署 Qwen3-0.6B、Qwen3-8B、Qwen3-14B,Think Deeper
  • 制作JDK17 arm64基础镜像,解决字体安装问题
  • Fiddler抓取APP端,HTTPS报错全解析及解决方案(一篇解决常见问题)
  • 混淆矩阵(Confusion Matrix);归一化混淆矩阵(Confusion Matrix Normalized)
  • 《AI大模型应知应会100篇》【精华】第40篇:长文本处理技巧:克服大模型的上下文长度限制
  • 制作一款打飞机游戏33:碰撞体编辑
  • 推荐系统实验指标置信度:p值核心原理与工程应用指南
  • 李录谈卖出股票的时机:价值投资的动态决策框架
  • centos6.7安装完python3 找不到python3命令
  • DES与AES算法深度解析:原理、流程与实现细节
  • 【Yolo精读+实践+魔改系列】Yolov2论文超详细精讲(翻译+笔记)
  • 深度学习·经典模型·SwinTransformer
  • 美的集团一季度净利增长38%,库卡中国机器人接单增超35%
  • 五大国有银行明确将撤销监事会
  • 探索演艺产业新路径,2万观众走进音乐科技融创节
  • 中方发布《不跪!》视频传递何种信息?外交部回应
  • 宁夏民政厅原厅长欧阳艳已任自治区政府副秘书长、办公厅主任
  • 直播电商行业代表呼吁:携手并肩伸出援手助力外贸企业攻坚克难