当前位置: 首页 > wzjs >正文

国外有什么好的网站2021年重大新闻事件

国外有什么好的网站,2021年重大新闻事件,网络架构必须满足哪些特性,六合彩网站建设模块接口说明 信号方向描述clk输入系统时钟(100MHz,周期10ns)rst_n输入低电平有效的异步复位信号led_en输入总使能信号(1开启呼吸灯,0关闭)speed_en输入呼吸速度调节使能信号speed[2:0]输入呼吸速度分级&a…

模块接口说明

信号方向描述
clk输入系统时钟(100MHz,周期10ns)
rst_n输入低电平有效的异步复位信号
led_en输入总使能信号(1=开启呼吸灯,0=关闭)
speed_en输入呼吸速度调节使能信号
speed[2:0]输入呼吸速度分级(0-7对应8级速度,0最慢,7最快)
led输出即PWM输出(受led_en控制)

模块代码:

/* 呼吸灯模块例化
led_breath #(.STEP     (1)
) led_breath(.clk      (clk     ),         .rst_n    (rst_n   ),.led_en   (led_en  ),.speed_en (speed_en),     .speed    (speed   ),.led      (led     )   
);
*/`timescale 1ns/1ps
// 呼吸灯模块(输出PWM波实现)
module led_breath #(parameter STEP = 1      //默认PWM占空比变化步长
)(input  wire clk,        // 时钟(100MHz)input  wire rst_n,      // 复位input  wire led_en,     // LED使能控制信号 1亮 0灭input  wire speed_en,   // 设置LED呼吸频率使能信号input  wire [2:0]speed, // LED呼吸频率(8级调节 0最慢 7最快)output wire led         // LED(PWM波)
);
localparam T_MAX    = 100000;// 占空比阈值变化周期的计数上限(1ms阈值变化一次)
localparam DUTY_MAX = 1000;  // 占空比计数上限(也是PWM波周期,同一占空比下周期长短不影响平均电压)
// 占空比阈值1ms变化一次,占空比计数上限1000,最小步长为1,最大8:因此LED呼吸一次周期最长2s、最短0.25sreg [3:0]  step;            // PWM波占空比变化步长
reg [23:0] t_cnt;           // 呼吸周期计数器
reg [15:0] duty;            // 当前占空比阈值
reg [15:0] duty_cnt;        // 占空比计数
reg direction;              // 亮度变化方向 0变亮 1变暗
wire PWM;                   //PWM波信号线// 设置PWM波占空比步长(最小步长为1,最大8)
always @(posedge clk or negedge rst_n) beginif (!rst_n) step <= STEP;else if (speed_en)step <= (speed==7) ? 8 : (speed+1); //步长+1:最小步长1、最大8
end// 呼吸周期计数器
always @(posedge clk or negedge rst_n) beginif (!rst_n) t_cnt <= 0;else if (t_cnt == T_MAX-1)t_cnt <= 0;elset_cnt <= t_cnt + 1;
end// PWM占空比阈值变化
always @(posedge clk or negedge rst_n) beginif (!rst_n) beginduty      <= 0;direction <= 0;endelse if (t_cnt == T_MAX-1) begin if (direction == 0) begin //变亮if (duty +step < DUTY_MAX) //防止占空比阈值不超过最大duty <= duty + step;elsedirection <= 1;endelse begin //变暗if (duty -step > 0 && duty -step < DUTY_MAX) //防止减法溢出的情况duty <= duty - step;elsedirection <= 0;endend
end// 占空比计数器
always @(posedge clk or negedge rst_n) beginif (!rst_n)duty_cnt <= 0;else if (duty_cnt == DUTY_MAX-1)duty_cnt <= 0;elseduty_cnt <= duty_cnt + 1;
end
// PWM波输出
assign PWM = (duty_cnt <= duty);// LED使能输出
assign led = led_en && PWM;endmodule
http://www.dtcms.com/wzjs/423401.html

相关文章:

  • 四川学校网站建设公免费手机网页制作
  • 公司网站一般用什么软件做中国时事新闻网
  • 做网站公司关键词宁波靠谱营销型网站建设
  • wordpress里无法添加图片北京seo招聘
  • 网站开发解决方案信息流广告有哪些投放平台
  • 一个公司做网站需要注意什么条件如何在百度打广告
  • 网站域名为个人的公司能备案关键词优化seo费用
  • 阜新网站建设2022最近比较火的热点话题
  • 网页设计建网站安徽新站优化
  • 东光网站建设网址seo优化排名
  • 如何选择制作网站公司想学互联网从哪里入手
  • 投资公司靠什么赚钱的seo网络推广优化教程
  • 界面设计心得网站seo哪家做的好
  • 佛山 政府网站 建设 规范成都最好的网站推广优化公司
  • 广州站有高铁吗seo关键词排名价格
  • 怎么把网站和域名绑定软件推广的渠道是哪里找的
  • 网站建设服务价格seo公司厦门
  • 广州做网站公司排名elo机制
  • 爱情动作片做网站站长工具怎么关闭
  • 备案怎么关闭网站吗佛山百度网站排名优化
  • 有经验的做网站小红书关键词热度查询
  • 网页设计公司上海手机优化大师哪个好
  • 网站上的流动图片怎么做的友链出售
  • 环球资源网商务网站建设目的网络软文营销是什么意思
  • 做宠物食品的网站郑州网站推广技术
  • 帮人做网站推选的公司深圳网络推广优化
  • 邢台建设一个企业网站seo怎么优化方法
  • 找别人做网站要注意什么软件什么平台可以打广告做宣传
  • 网站测试教程电商网站设计方案
  • 沙元浦做网站的公司怎么看百度关键词的搜索量