当前位置: 首页 > news >正文

VL25 输入序列连续的序列检测

输入序列连续的序列检测_牛客题霸_牛客网

方法一:序列缓存比对

方法二:有限状态机写法(序列检测器)

`timescale 1ns/1nsmodule sequence_detect(input       clk,input       rst_n,input       a,output reg  match  // ← 保持为 reg,在 always 中赋值
);parameter IDLE = 3'd0;parameter s0   = 3'd1;parameter s1   = 3'd2;parameter s2   = 3'd3;parameter s3   = 3'd4;parameter s4   = 3'd5;parameter s5   = 3'd6;parameter s6   = 3'd7;reg [2:0] state;reg [2:0] next_state;// 状态寄存器always @(posedge clk or negedge rst_n) beginif (!rst_n) beginstate <= IDLE;end else beginstate <= next_state;endend// 下一状态逻辑always @(*)begincase (state)IDLE : next_state = ~a ? s0 : IDLE ;s0 : next_state = a ? s1 : s0 ;s1 : next_state = a ? s2 : s0 ;s2 : next_state = a ? s3 : s0 ;s3 : next_state = ~a ? s4 : IDLE ;s4 : next_state = ~a ? s5 : s1 ;s5 : next_state = ~a ? s6 : s1 ;s6 : next_state = a ? IDLE : s0 ;default : next_state = IDLE ;endcase end// 直接在时序块中赋值 matchalways @(posedge clk or negedge rst_n) beginif (!rst_n) beginmatch <= 1'b0;end else if (state == s6 && a) beginmatch <= 1'b1;end else beginmatch <= 1'b0;endendendmodule

http://www.dtcms.com/a/602140.html

相关文章:

  • 如何做条形码网站怎么搞浏览器如何推广自己网站
  • 系统之美—人文行走
  • 用Python和Websockets库构建一个高性能、低延迟的实时消息推送服务
  • 海尔网站建设水平河北廊坊seo网站建设网站优化
  • 小型深圳网站定制开发最专业的网站建设
  • 中山网站优化排名徐州祥云做网站
  • 8、hall速度控制——速度电流双闭环控制(一)
  • 网页版C语言编译器 | 在线体验C语言编程,快速编译与调试
  • 网站如何调用微博网站集群建设是
  • 「单题起答」功能解锁丨考试升级
  • Effective Python 第50条:用__set_name__给类属性加注解
  • 泉州市住房与城乡建设网站常用的网站有哪些
  • wordpress站点设置使用期限武夷山网站制作
  • python 迭代器和生成器
  • 编译型语言的两大步骤 | 深入理解编译过程与优化技术
  • (三)分支与合并 - git rebase 命令的使用
  • K8S上高可用SeaTunnel 集群部署
  • wdcp 默认网站中学网站建设方案 分校区
  • 网站营销站点有你想网页设计师个人简历参考范文
  • Windows 使用 docker 搭建 gitea
  • 多维决策系统的工程化实践:从评估框架到智能筛选引擎
  • 二十八、STM32的USART (介绍)
  • 双滦区seo整站排名seo在网站制作
  • 关于网站维护的书籍建设网站的技术性背景
  • 现代CPU性能分析与优化
  • 悬浮提词器免费版哪个好用?功能测评与实用应用场景
  • 使用OpenGL实现Gouraud材质
  • 2025年数据中心不间断电源(UPS)市场报告:趋势、挑战与投资战略全景分析
  • 网站建设开标书哪家公司
  • 【前端面试】CSS篇