当前位置: 首页 > news >正文

zynq读取片内DNA

BD

在这里插入图片描述

#时序约束
create_clock -period 20.000 -name sys_clk [get_ports sys_clk]
#IO引脚约束
set_property -dict {PACKAGE_PIN U18 IOSTANDARD LVCMOS33} [get_ports sys_clk]
set_property -dict {PACKAGE_PIN N16 IOSTANDARD LVCMOS33} [get_ports sys_rst_n]

dna_reader.v

/**读取DNA的8字节数据
**/
module dna_reader (input wire i_clk,input wire i_rst_n,output reg [56:0] or_dna_value,output reg or_done
);// DNA_PORT 原语信号reg r_read_en;reg r_shift_en;wire w_dout;// 读取 bit 计数reg [5:0] r_bit_cnt;// 实例化 DNA_PORT 原语DNA_PORT #(.SIM_DNA_VALUE(57'h123456789ABCDE)  // 仿真用,综合时无效) u_dna (.CLK(i_clk),.READ(r_read_en),.SHIFT(r_shift_en),.DOUT(w_dout),.DIN(1'b0));// 状态机读取 DNAalways @(posedge i_clk or negedge i_rst_n) beginif (!i_rst_n) beginr_read_en    <= 1'b1;r_shift_en   <= 1'b0;r_bit_cnt    <= 6'd0;or_dna_value  <= 57'd0;or_done       <= 1'b0;end else if (r_read_en) beginr_read_en    <= 1'b0;  // 只拉高一个周期启动读取r_shift_en   <= 1'b1;end else if (r_shift_en && r_bit_cnt < 57) beginor_dna_value <= {or_dna_value[55:0], w_dout};  // 左移一位r_bit_cnt   <= r_bit_cnt + 1;end else if (r_bit_cnt == 57) beginr_shift_en <= 1'b0;or_done <= 1'b1;endendendmodule

测试

在这里插入图片描述

http://www.dtcms.com/a/403712.html

相关文章:

  • python用于网站开发桐乡做网站的公司
  • Maven的安装与配置的详细步骤
  • 第三篇布局
  • 网站建设推广 公司两学一做网站是多少钱
  • 分布式专题——21 Kafka客户端消息流转流程
  • 安平有做农产品的网站被wordpress数据库导入插件
  • 个人网站可备案江西龙峰建设集团的网站
  • 从MR迁移到Spark3:数据倾斜与膨胀问题的实战优化
  • 成都手机建站网站建设平台赚钱
  • Service :微服务通信、负载、故障难题的解决方案
  • 网站建设有什么理论依据外包公司会抽取多少工资
  • 积加科技音视频一面
  • phpstudy如何搭建网站萝岗微信网站建设
  • Spring Boot 高级特性:从原理到企业级实战​
  • 个人网站用凡科建站好吗wordpress只允许中文评论
  • Qt常用控件之按钮
  • 祝贺网站改版建设教育网站的目的
  • 网站建设验收需要注意什么怎样下载网页上的视频
  • 分布式专题——20 Kafka快速入门
  • SSH公钥私钥!进阶!SSH与Git!
  • 网站必须兼容哪些浏览器中核正式员工年收入
  • 珠海网站品牌设计公司简介网络新闻专题做的最好的网站
  • keepalived服务器
  • AI写的超级好用的课堂互动系统
  • 山东建设机械协会网站课程网站建设的设计报告
  • 第四部分:Netty核心源码解析(下)
  • 攻克 大 Excel 上传难题:从异步处理到并发去重的全链路解决方案
  • 【双光相机配准】红外相机与可见光相机配准方案
  • 中国建设银行网站个人客户wordpress 主题显示
  • 开源超级终端PuTTY改进之:增加点对点网络协议IocHub,实现跨网段远程登录