当前位置: 首页 > news >正文

`timescale 1ns/1ps的意义

使用Xilinx的IDE创建新代码文件的时候,IDE会自动添加上一个`timescale 1ns/1ps。

1、`timescale 1ns/1ps只作用于软件仿真,不参与实际的编译。

2、`timescale 1ns/1ps的功能和定义

`timescale 仿真延时/仿真精度

以下面的一段代码为例

module sim_prbs_test;reg clk;reg reset;wire [7:0] prbs_out;wire error;prbs_test uut (.clk(clk),.reset(reset),.prbs_out(prbs_out),.error(error));initial beginclk = 0;reset = 1;#10 reset = 0;#1000 $stop;endalways #5 clk = ~clk;initial begin$monitor("Time: %0d, PRBS Out: %b, Error: %b", $time, prbs_out, error);end
endmodule

`timescale 1ns/1ps的含义:

[1]1ns表示仿真延时, #1 = 1ns,比如代码中的#10 reset = 0; 就是等待10ns后,reset置0.

[2]1ps是仿真的计算精度,也就是仿真后的结果图上能呈现的最小颗粒度是1ps,颗粒度越小,仿真计算的过程越漫长,所以大型仿真计算最好根据实际情况调整这个值,以提高仿真速度。1ps其实是非常小的结果,1ps对应的频率是1THz,如下图的时间轴。

而FPGA内部的逻辑通常也就是在500MHz(局部)以内的,大多数仿真不需要这么高的精度,尤其是做功能仿真的时候。

相关文章:

  • DeepResearch深度搜索实现方法调研
  • 【大模型ChatGPT+ArcGIS】数据处理、空间分析、可视化及多案例综合应用
  • 34.笔记1
  • 如何用Jmeter实现自动化测试?
  • wsl - install RabbiqMQ
  • 复杂网络鲁棒性理论基础
  • 使用Python 打造多格式文件预览工具 — 图、PDF、Word、Excel 一站式查看
  • 极客说|Unsloth 的全微调之路:从 Adapter 到 Full Fine-tuning
  • Git标签删除脚本解析与实践:轻松管理本地与远程标签
  • 大数据——Mac环境DataSpell集成Jupyter
  • 零基础学Java——第十一章:实战项目 - 控制台应用开发
  • 开目新一代MOM:AI赋能高端制造的破局之道
  • 【C++进阶】第2课—多态
  • 星光云720全景VR系统升级版,720全景,360全景,vr全景,720vr全景
  • Jsp技术入门指南【十一】SQL标签库
  • flask开启https服务支持
  • 嵌入式openharmony标准系统中GPIO口控制详解
  • 【教学类-34-12】20250509(通义万相)4*3蝴蝶拼图(圆形、三角、正方、半圆的凹凸小块+数字提示+参考图灰色)
  • 打造专属AI好友:小智AI聊天机器人详解
  • 解决Win11下MySQL服务无法开机自启动问题
  • 中国证监会印发2025年度立法工作计划
  • 洞天寻隐·学林纪丨玉洞桃源:仇英青绿山水画中的洞天与身体
  • 外交部答澎湃:美方攻击抹黑中加关系与合作的卑劣图谋不会得逞
  • 汪明荃,今生不负你
  • 技术派|伊朗展示新型弹道导弹,美“萨德”系统真的拦不住?
  • 抗战回望21︱《“良民”日记》:一个“良民”在沦陷区的见闻与感受