当前位置: 首页 > news >正文

【SystemC初认识】SystemC是什么?有哪些主要组件?如何简单使用?

【SystemC初认识】SystemC是什么?有哪些主要组件?如何简单使用?

  • 1 SystemC简介
  • 2 主要组件
  • 3 关于时序与调度
  • 4 如何安装
    • 4.1 安装C++编译器
    • 4.2 安装SystemC 库
  • 5 SystemC代码示例
  • 6 关于SystemC 仿真与调度
  • 7 SystemC 中的常用类和函数
  • 8 常见的设计模式
  • 9 更复杂的仿真
  • 10 调试和验证
  • 11 高级功能

1 SystemC简介

  • SystemC 是一种基于 C++ 的硬件描述语言(HDL),常用于硬件系统的建模、仿真以及验证;
  • 允许开发者在一个系统级别(即硬件和软件的结合)上描述和模拟复杂的系统;
  • SystemC 既适用于硬件设计,也适用于嵌入式软件开发;
  • SystemC 的核心在于其基于 C++ 的编程模型,它扩展了 C++ 的特性,使其能够进行硬件系统建模;
  • SystemC 提供了一些类和库,以便开发者描述硬件组件、模块之间的交互以及时间上的顺序。

以下是介绍 SystemC 的使用方法,涵盖其基本概念、建模方式、仿真过程等。

2 主要组件

组件说明
模块(Module)在 SystemC 中,一个硬件系统通常是由多个模块组成的。每个模块可以包含输入、输出端口,提供功能实现等
端口(Port)端口用于连接模块,支持信号传递。SystemC 支持两种主要的端口类型:输入端口和输出端口
信号(Signal)信号是模块之间传输数据的主要方式,类似于硬件电路中的线
进程(Process)进程描述了模块中执行的行为,它可以是 SC_METHOD 或 SC_THREAD 类型

3 关于时序与调度

  • SystemC 中的仿真基于事件驱动的模型,类似于硬件中的时钟周期。仿真器通过调度进程来驱动系统的演化。

4 如何安装

4.1 安装C++编译器

  • 在使用 SystemC 之前,安装 SystemC 库;
  • 安装 C++ 编译器和工具:
sudo apt-get install g++ make

在这里插入图片描述

4.2 安装SystemC 库

  • 下载 SystemC 库:
wget https://github.com/accellera-official/systemc/archive/refs/tags/3.0.1.tar.gz

在这里插入图片描述

  • 解压并进入目录:
tar -zxvf 3.0.1.tar.gz
cd systemc-3.0.1

在这里插入图片描述

  • 编译和安装 SystemC
./configure
make
sudo make install

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

5 SystemC代码示例

以下代码来源于网络:

#include <systemc.h>SC_MODULE(AndGate) {sc_in<bool> a, b;   // 输入端口sc_out<bool> y;     // 输出端口// 定义一个方法进程void do_and() {y.write(a.read() && b.read());  // 输出为输入的与运算结果}// 构造函数,绑定进程SC_CTOR(AndGate) {SC_METHOD(do_and);sensitive << a << b;  // 当 a 或 b 发生变化时,执行 do_and}
};int sc_main(int argc, char* argv[]) {// 创建信号sc_signal<bool> sig_a, sig_b, sig_y;// 实例化与门模块AndGate and_gate("AndGate");// 连接信号与模块端口and_gate.a(sig_a);and_gate.b(sig_b);and_gate.y(sig_y);// 激活仿真sc_start(1, SC_NS);  // 运行 1 纳秒的仿真// 设置输入信号并再次运行仿真sig_a.write(true);sig_b.write(false);sc_start(1, SC_NS);// 输出结果cout << "Output: " << sig_y.read() << endl;return 0;
}
  • 说明:
代码说明
SC_MODULE定义一个硬件模块 AndGate
sc_in 和 sc_out用于定义输入和输出端口
SC_METHOD声明一个方法进程,表示该方法在指定的端口发生变化时被触发
sensitive << a << b声明一个方法进程,表示该方法在指定的端口发生变化时被触发
sc_signal信号,用于连接模块和模块之间的端口

6 关于SystemC 仿真与调度

  • SystemC 仿真通常在 sc_main() 函数中进行,sc_start() 用来启动仿真;
  • 系统中的每个模块可以通过进程定义自己的行为;
  • 仿真是通过事件调度机制执行的,所有的进程都是通过事件的触发来进行调度的。
  • sc_start():启动仿真,并指定运行的时间,例如 sc_start(10, SC_NS) 会模拟 10 纳秒的时间;
  • SC_METHOD 和 SC_THREAD:这两种进程类型用于定义模块的行为;
  • SC_METHOD 是事件驱动的,SC_THREAD 可以创建一个独立的线程,支持更复杂的时序控制。

7 SystemC 中的常用类和函数

函数说明
sc_signal用来定义信号,类似于硬件中的总线或线
sc_clock定义时钟信号
sc_time表示仿真中的时间,通常用于设置仿真时长和周期

8 常见的设计模式

在 SystemC 中,你可以使用以下几种设计模式:

  • 数据流模式:适用于需要数据传递的系统,模块之间通过信号传递数据;
  • 行为描述模式:适用于描述系统内部的功能操作,例如使用进程描述计算和控制逻辑;
  • 结构化设计模式:通过模块化、层次化设计,系统能够更加清晰、易于管理。

9 更复杂的仿真

  • 对于更复杂的仿真,你可以使用 SystemC 的调度机制来控制仿真时间。例如,使用 sc_event 处理不同模块之间的事件和同步。
sc_event event1;
SC_THREAD(thread_function);
  • 在一个多进程的仿真中,可以使用事件进行进程间的同步,以确保不同模块按照特定顺序执行。

10 调试和验证

在仿真过程中,可以使用 std::cout 输出信息进行调试,还可以使用波形生成工具(例如 VCD 文件)进行可视化调试。生成波形文件:

sc_trace_file *wf = sc_create_vcd_trace_file("waveform");
sc_trace(wf, sig_a, "A");
sc_trace(wf, sig_b, "B");
sc_trace(wf, sig_y, "Y");

11 高级功能

SystemC 还支持多种高级功能,包括:

  • SystemC TLM(Transaction-Level Modeling):用于高层次的系统建模,支持更高效的仿真;
  • 系统级仿真:可以结合其他工具(如硬件仿真器、软件工具链)进行综合仿真。

相关文章:

  • Java高阶程序员学习计划(详细到天,需有一定Java基础)
  • TimeDistill:通过跨架构蒸馏的MLP高效长期时间序列预测
  • LeRobot 项目部署运行逻辑(四)——control_robot.py
  • 使用 Spring Data Redis 实现 Redis 数据存储详解
  • L35.【LeetCode题解】转置矩阵(C语言)
  • 11.Spring Boot 3.1.5 中使用 SpringDoc OpenAPI(替代 Swagger)生成 API 文档
  • 2025.4.28 Vue.js 学习笔记
  • Rancher 2.6.3企业级容器管理平台部署实践
  • 百家号等新媒体私信入口是否可以聚合到企业微信的客服,如何实现
  • E. Unpleasant Strings【Educational Codeforces Round 178 (Rated for Div. 2)】
  • SpringAI实现AI应用-搭建知识库
  • 核心技能:ArcGIS洪水灾害普查、风险评估及淹没制图
  • 【数学建模国奖速成系列】优秀论文绘图复现代码(三)
  • X²+1素数素数
  • 《Python实战进阶》 No46:CPython的GIL与多线程优化
  • 直播美颜SDK是什么?跨平台美颜SDK开发与接入全解析
  • errorno 和WSAGetlasterror的区别
  • Java写数据结构:队列
  • [CPCTF 2025] Crypto
  • 西门子PLC S7-1200电动机软启动、软停止的控制实例
  • 马克思主义理论研究教学名师系列访谈|丁晓强:马克思主义学者要更关注社会现实的需要
  • 铁路上海站迎五一假期客流最高峰,今日预计发送77万人次
  • 乌美签署矿产协议
  • 中国代表:美“对等关税”和歧视性补贴政策严重破坏世贸规则
  • 吴志朴当选福建德化县人民政府县长
  • 孕妇乘坐高铁突发临产,广西铁路部门协助送医平安产子