当前位置: 首页 > wzjs >正文

专业素材网站奢侈品 网站建设方案

专业素材网站,奢侈品 网站建设方案,光辉网络 石家庄网站建设,广告公司vi设计1. 设计约束 设计约束主要分为物理约束和时序约束。 物理约束主要包括I/O接口约束(如引脚分配、电平标准设定等物理属性的约束)、布局约束、布线约束以及配置约束。 时序约束是FPGA内部的各种逻辑或走线的延时,反应系统的频率和速度的约束…

1. 设计约束

设计约束主要分为物理约束和时序约束。

物理约束主要包括I/O接口约束(如引脚分配、电平标准设定等物理属性的约束)、布局约束、布线约束以及配置约束。

时序约束是FPGA内部的各种逻辑或走线的延时,反应系统的频率和速度的约束。

2. 物理约束

在Vivado中,物理约束通常是在*_pins.xdc文件实现,这也是推荐的使用方法。

FUXI软件有专用的IO GUI界面,暂不支持手动编译。点击IO Editor,如下图所示。

注意:和Vivado类似,需要先完成Systhesize。

软件会打开*.aoc文件,根据硬件设计选择Pin Name,IO Standard等。

*.aoc文件内容:

<?xml version="1.0" encoding="UTF-8"?>
<aoc version="2.3" device="P2P50N0V324-M4H1C7"><ioConstraint><IO signal_name="sys_osc_clk" pad_name="io16_dmux5_clk0" location="IO16_GCLK0_0" pin_no="L4" inst_name="io_sys_osc_clk_inst" direction="input" operation_mode="clock"/><IO signal_name="sys_rst_n" pad_name="io30_busyout" location="IO30_BUSYOUT_0" pin_no="R1" inst_name="io_sys_rst_n_inst" direction="input" operation_mode="normal"/><IO signal_name="uart0_rx" pad_name="io32_lcsn" location="IO32_LCSN_0" pin_no="R2" inst_name="io_uart0_rx_inst" direction="input" operation_mode="normal"/><IO signal_name="uart0_tx" pad_name="io36_d6_dmux10" location="IO36_D6_0" pin_no="V2" inst_name="io_uart0_tx_inst" direction="output" operation_mode="normal"/></ioConstraint>
</aoc>

3. 时序约束

在Vivado中,物理约束通常是在*_timing.xdc文件实现,这也是推荐的使用方法。

FUXI软件有专用的Timing Contrains GUI界面,不推荐手动编译。点击Timing Contrains Editor,如下图所示。

从上图可以看到,已经包含业界常使用的约束命令。

*.sdc文件内容:

create_clock -period 50 -name {sys_osc_clk} [get_ports {sys_osc_clk}]
create_clock -period 10 -name {u_pll_v1_pll_u0/CO0} [get_pins {u_pll_v1_pll_u0/CO0}]
create_clock -period 5 -name {u_pll_v1_pll_u0/CO1} [get_pins {u_pll_v1_pll_u0/CO1}]
set_false_path -from [get_clocks {sys_osc_clk}] -to [get_clocks {u_pll_v1_pll_u0/CO0}]
set_false_path -from [get_clocks {sys_osc_clk}] -to [get_clocks {u_pll_v1_pll_u0/CO1}]
set_false_path -from [get_clocks {u_pll_v1_pll_u0/CO0}] -to [get_clocks {sys_osc_clk}]
set_false_path -from [get_clocks {u_pll_v1_pll_u0/CO1}] -to [get_clocks {sys_osc_clk}]

4. 时序分析

先运行Run Timing,完成后点击Timing Analysis分析时序报告。

小结:

时序约束通常可以分为以下4个主要步骤:

始终约束(Create Clock)->输入/输出接口约束(Input/Output Delay,I/O约束)、时钟分组和跨时钟约束(Clock Group and CDC)、时钟例外约束(Timing Exceptions)。

注意:必选要正确的梳理时钟树。


文章转载自:

http://4gFqKSbC.Ljwyc.cn
http://Xs5apq7R.Ljwyc.cn
http://LgNGWocH.Ljwyc.cn
http://svP4RMdO.Ljwyc.cn
http://dfINccbs.Ljwyc.cn
http://c5pFaEe8.Ljwyc.cn
http://zOcPux9P.Ljwyc.cn
http://QTN9YHmY.Ljwyc.cn
http://lFwKiRAp.Ljwyc.cn
http://I8SRiNtr.Ljwyc.cn
http://ZL12DoA8.Ljwyc.cn
http://I9V7kz4X.Ljwyc.cn
http://FVeOKIHe.Ljwyc.cn
http://FQcmKXzj.Ljwyc.cn
http://em3APuxA.Ljwyc.cn
http://tqXw6bQr.Ljwyc.cn
http://YEfh0VnV.Ljwyc.cn
http://Q6PZJJ7D.Ljwyc.cn
http://ch2wYqtX.Ljwyc.cn
http://Mjap39SO.Ljwyc.cn
http://BA00q69k.Ljwyc.cn
http://2iINqu0d.Ljwyc.cn
http://e7dqGdPP.Ljwyc.cn
http://HvwRKUoR.Ljwyc.cn
http://Vml27oSZ.Ljwyc.cn
http://P7xtSPiK.Ljwyc.cn
http://vVpAjgsc.Ljwyc.cn
http://gfxUPVyF.Ljwyc.cn
http://4GF6Jzet.Ljwyc.cn
http://MKNWYnQZ.Ljwyc.cn
http://www.dtcms.com/wzjs/687807.html

相关文章:

  • 织梦图片网站源码下载wordpress tdk
  • asp网站怎么验证到百度站长做电影网站侵权吗
  • 没有网站可以做cpaoa电子办公系统
  • 做视频分享网站深圳快速网站制作服
  • 使用asp.net做购物网站网站优化 合同
  • 大岭山营销型网站建设手机网站居中显示
  • 网站制作的评价标准中国十大产品设计公司
  • 医疗网站建设意见网站地图制作视频教程
  • 深圳设计公司前十名网站推广优化业务
  • 个人网站做商城帝国cms和wordpress哪个更好
  • 让别人做网站如何防止后门wordpress主题机制
  • 网站建设需求调研外贸网站建设收款通道
  • 电子商务网站建设期末试卷答案智慧团建初始密码是多少
  • 2017做淘宝客网站还有吗有播放量就有收益的自媒体平台
  • 深圳市住房和建设局网站怎么打不开了泰安网站制作推广
  • 网站开发工具最适合在哪里可以建设网站
  • 如何看网站做打好坏网站建设范文
  • asp.net 网站开发推广网站联盟
  • 农村建设设计网站便宜网站建设公司
  • 网络外贸平台有哪些seo综合查询可以关了吗
  • 网站建设指数是什么意思南平 网站建设
  • 如何制作手机免费网站模板下载网站怎么做推广知乎
  • 做外汇需要关注哪几个网站适合网站开发的框架
  • 北京服装网站建设wordpress 文字插件
  • 南宁网站建设超薄网络本地门户网站系统
  • 南昌做网站怎么向google提交网站
  • 建筑设计资料网站网站与规划设计思路
  • 台州企业网站建设公司在线代理浏览国外网站
  • 烟台当地网站WordPress应用商城
  • 建设局网站信息管理制度网站的下载链接怎么做