当前位置: 首页 > wzjs >正文

360网站卖东西怎么做的产品推广运营的公司

360网站卖东西怎么做的,产品推广运营的公司,免费做网站哪个好,能24小时挂机的云电脑我们今天学习, SystemVerilog 断言(SVA)中 first_match、throughout、within 运算符。 1. first_match 定义与作用 功能:在可能产生 多个匹配结果 的复合序列(如 or 或重复操作符)中,仅选择第…

我们今天学习, SystemVerilog 断言(SVA)中 first_matchthroughoutwithin 运算符。

1. first_match

定义与作用

  • 功能:在可能产生 多个匹配结果 的复合序列(如 or 或重复操作符)中,仅选择第一个成功的匹配,忽略后续可能的匹配。

  • 用途:避免因多个分支同时满足条件导致的重复触发,确保断言逻辑的确定性。

语法

first_match(sequence_expression);

示例与波形

sequence multi_match_seq;(req ##[1:3] ack) or (data_valid ##1 data_done);
endsequenceproperty check_first_match;@(posedge clk) first_match(multi_match_seq);
endpropertyassert property (check_first_match);

波形分析

周期: 0   1   2   3   4
req  : 1   0   0   0   0
ack  : 0   1   0   0   0    // req ##1 ack 在周期1匹配
data_valid:0   0   1   0   0
data_done :0   0   0   1   0  // data_valid ##1 data_done 在周期3匹配
  • 无 first_match:断言在周期1和周期3均匹配成功,可能触发多次报告。

  • 使用 first_match:仅周期1的匹配被选中,周期3的匹配被忽略。

2. throughout

定义与作用

  • 功能:确保 某个条件在整个序列的检测过程中持续为真

    • 若条件在序列的任一周期失效,断言失败。

  • 用途:验证信号在特定操作期间保持稳定(如总线保持、电源稳定)。

语法

(condition) throughout sequence_expression;

示例与波形

sequence data_stable_seq;(data == $past(data)) throughout (req ##3 ack);
endsequenceproperty check_data_stable;@(posedge clk) req |-> data_stable_seq;
endpropertyassert property (check_data_stable);

波形分析

周期: 0   1   2   3
req  : 1   0   0   0
ack  : 0   0   0   1
data : 5   5   5   5
  • 断言通过data 在 req 到 ack 的周期内(0-3)始终保持不变。

  • 失败场景:若 data 在周期2变为6,断言失败。

3. within

定义与作用

  • 功能:表示 一个序列(seq1)必须完全包含在另一个序列(seq2)的时间窗口内

    • seq1 的起始点 ≥ seq2 的起始点。

    • seq1 的结束点 ≤ seq2 的结束点。

  • 用途:验证子操作在父操作的时间范围内完成(如中断响应必须在事务周期内)。

语法

seq1 within seq2;

示例与波形

sequence parent_seq;start ##5 end_signal;
endsequencesequence child_seq;sub_start ##2 sub_end;
endsequenceproperty check_within;@(posedge clk) child_seq within parent_seq;
endpropertyassert property (check_within);

波形分析

周期: 0   1   2   3   4   5
start: 1   0   0   0   0   0
end_signal:0   0   0   0   0   1
sub_start:0   1   0   0   0   0
sub_end  :0   0   1   0   0   0
  • 断言通过child_seq 在周期1-3完成,完全包含在 parent_seq 的周期0-5内。

  • 失败场景:若 sub_end 在周期6拉高(超出 parent_seq 窗口),断言失败。

4. 对比总结

运算符核心作用关键要求典型应用场景
first_match选择多个匹配中的第一个结果序列可能多分支匹配避免重复触发(如多模式选择)
throughout确保条件在整个序列中持续有效条件在序列所有周期内为真稳定性检查(如数据保持)
within子序列完全包含在父序列中子序列的起止时间在父序列范围内子操作时间约束(如中断响应)

 

http://www.dtcms.com/wzjs/397540.html

相关文章:

  • 网站流量统计怎么做的seo比较好的优化方法
  • 城固县网站建设百度快照首页
  • 企业应如何进行网站建设今日冯站长之家
  • 如何做网站的订阅国际婚恋网站排名
  • 网站建设合同范本站长之家seo综合查询
  • 南京公共工程建设中心网站营销型网站建设运营
  • discuz做电影网站如何查询百度收录
  • 上海哪家网站建设公司好cps广告联盟
  • 黄网网站是怎么做的微博推广
  • 做大型网站建设seo数据优化
  • 代做网站作业企业如何建站
  • 上海人才网官网招聘招聘seo知识分享
  • 东城区网站建设成都seo论坛
  • 如何做网站路径分析长沙seo搜索
  • 梅县区住房和城乡规划建设局网站北京seo技术交流
  • 万荣做网站谷歌ads广告投放
  • 有什么做日结兼职的网站化工网站关键词优化
  • 吉野家网站谁做的搜索引擎网站优化推广
  • 三网站建设一键关键词优化
  • 模板建站和定制建站南京网站设计公司
  • 开设公司网站优化推荐
  • 参考效果图网站九江seo
  • 获取网站访问者qq号百度seo关键词工具
  • 节日彩灯制作公司郑州网站优化公司
  • 怎么做网站设计方案百度自己的宣传广告
  • 我国政府网站建设现状百度应用宝
  • 双流区规划建设局官方网站网站推广的几种方法
  • word页面设计模板百度seo优化多少钱
  • 新手如何做分销代哥seo
  • 武汉专业网站建设推广有趣的网络营销案例