当前位置: 首页 > wzjs >正文

做服装外贸的网站三亚百度推广开户

做服装外贸的网站,三亚百度推广开户,南宁网站建设 醉懂网络,儿童网站欣赏使用Xilinx的IDE创建新代码文件的时候,IDE会自动添加上一个timescale 1ns/1ps。 1、timescale 1ns/1ps只作用于软件仿真,不参与实际的编译。 2、timescale 1ns/1ps的功能和定义 timescale 仿真延时/仿真精度 以下面的一段代码为例 module sim_prbs_…

使用Xilinx的IDE创建新代码文件的时候,IDE会自动添加上一个`timescale 1ns/1ps。

1、`timescale 1ns/1ps只作用于软件仿真,不参与实际的编译。

2、`timescale 1ns/1ps的功能和定义

`timescale 仿真延时/仿真精度

以下面的一段代码为例

module sim_prbs_test;reg clk;reg reset;wire [7:0] prbs_out;wire error;prbs_test uut (.clk(clk),.reset(reset),.prbs_out(prbs_out),.error(error));initial beginclk = 0;reset = 1;#10 reset = 0;#1000 $stop;endalways #5 clk = ~clk;initial begin$monitor("Time: %0d, PRBS Out: %b, Error: %b", $time, prbs_out, error);end
endmodule

`timescale 1ns/1ps的含义:

[1]1ns表示仿真延时, #1 = 1ns,比如代码中的#10 reset = 0; 就是等待10ns后,reset置0.

[2]1ps是仿真的计算精度,也就是仿真后的结果图上能呈现的最小颗粒度是1ps,颗粒度越小,仿真计算的过程越漫长,所以大型仿真计算最好根据实际情况调整这个值,以提高仿真速度。1ps其实是非常小的结果,1ps对应的频率是1THz,如下图的时间轴。

而FPGA内部的逻辑通常也就是在500MHz(局部)以内的,大多数仿真不需要这么高的精度,尤其是做功能仿真的时候。

http://www.dtcms.com/wzjs/389619.html

相关文章:

  • 苏州建设公司网站爱战网官网
  • 长治网站开发建站系统cms
  • 网站建设与制作与维护网店营销策略有哪些
  • 网站建设与管理案例教程第三版课后答案快速关键词排名首页
  • 网站外链优化软文营销什么意思
  • 怎么建设网站啊网站优化外包找谁
  • 设计精美的中文网站百度助手手机下载
  • 哈尔滨做平台网站平台公司吗如何在百度上做广告宣传
  • 网站建设评判seo网站排名助手
  • html5个人网站源码搜索引擎营销的原理是什么
  • ps做网页怎么在网站上打开百度舆情监测平台
  • 关于建设学校网站的报告站长工具seo查询5g5g
  • 江阴高端网站建设网站开发平台有哪些
  • 销售网站建设怎么做seo课程哪个好
  • 石家庄58同城最新招聘信息seo搜索优化是什么意思
  • 屏边县住房和城乡建设局网站百度售后客服电话24小时
  • 推荐做ppt照片的网站淄博搜索引擎优化
  • 信息中心完成网站建设网站seo诊断报告怎么写
  • 网站登录页一般做多大尺寸百度24小时人工客服电话
  • 黄石市网站建设最新黑帽seo教程
  • 哪个网站上做ppt比较好建站公司排名
  • 深圳市大鹏建设局网站百度竞价开户3000
  • 电子商务网站推广策划方案怎么让付费网站免费
  • 凡科建站做的网站有什么短板seo整站优化哪家专业
  • 如何做网站宣传自己湖南长沙疫情最新消息
  • 网站开发需求描述网站seo查询工具
  • 手机网站制作公司选哪家品牌策划与推广方案
  • 网站基本功能关键词优化外包
  • 最美情侣高清免费视频播放长沙seo推广
  • wordpress视频无法播放视频播放广东网站seo营销