当前位置: 首页 > wzjs >正文

虚拟网站免费注册营销网站建设价格

虚拟网站免费注册,营销网站建设价格,自己怎样制作公司网站,wordpreSS 搜索优化在海康的FPGA设计岗面试中,有一道代码题,如下: 使用Verilog设计一个模块:输入3个无符号8bit数据,设计模块输出中位数,尽可能较少资源使用。 代码如下: module median_of_3 #(parameter …

在海康的FPGA设计岗面试中,有一道代码题,如下:

使用Verilog设计一个模块:输入3个无符号8bit数据,设计模块输出中位数,尽可能较少资源使用。
代码如下:

module median_of_3 #(parameter              WIDTH = 8
)(input                  clk              ,input      [WIDTH-1:0] A                ,input      [WIDTH-1:0] B                ,input      [WIDTH-1:0] C                ,output reg [WIDTH-1:0] Median_of_abc
);// definewire a_ge_b, b_ge_c, c_ge_a;reg [WIDTH-1:0] abc_median;// 比较逻辑assign a_ge_b = (A >= B) ? 1'b1 : 1'b0;assign b_ge_c = (B >= C) ? 1'b1 : 1'b0;assign c_ge_a = (C >= A) ? 1'b1 : 1'b0;// 多路选择器逻辑,不用进行全比较,节省资源always @(*) beginif (!a_ge_b && !b_ge_c &&  c_ge_a)      // A < B < Cabc_median = B;else if ( a_ge_b &&  b_ge_c && !c_ge_a) // C < B < Aabc_median = B;else if ( a_ge_b && !b_ge_c && !c_ge_a) // B < C < Aabc_median = C;else if (!a_ge_b &&  b_ge_c &&  c_ge_a) // A < C < Babc_median = C;elseabc_median = A;end// 输出寄存器:时序逻辑always @(posedge clk) beginMedian_of_abc <= abc_median;endendmodule

此外:1)在实际项目中,如果允许两级流水线延迟,可在a_ge_b, b_ge_c, c_ge_a处插入一级寄存器,增加性能;
2)修改多路选择器的逻辑关系,可变为求三个数的最大值,也可变为求三个数的最小值,消耗的资源和时序性能不变。

http://www.dtcms.com/wzjs/226537.html

相关文章:

  • 网站信息内容建设实施办法网站开发流程的8个步骤
  • 阿里服务器怎么做网站服务器吗浙江疫情最新情况
  • 网站颜色表郑州百度seo排名公司
  • 青岛开发区网站建设多少钱青岛关键词排名系统
  • 珠海建设网站的公司哪家好免费自助建站网站
  • 华人博学网站建设公司厦门seo外包服务
  • 百度怎样才能搜到自己的网站别做网络推广员
  • 安徽省建设项目 备案网站淘宝seo搜索引擎优化
  • 福建微网站建设公司推荐公众号软文推广多少钱一篇
  • 亚马逊网站开发者平台如何做网站推广
  • PHP网站建设项目经验军事新闻头条
  • 帮别人做钓鱼网站吗文娱热搜榜
  • 网站设计的软件青岛网络优化厂家
  • 永州市开发建设投资有限公司网站镇江百度公司
  • 代写网站建设合同长沙网站推广公司
  • 自己怎么1做网站seo关键词优化最多可以添加几个词
  • 针对人群不同 网站做细分友情链接代码
  • 网站策划书基本项目上海不限关键词优化
  • 河南做网站哪个公司好班级优化大师网页版登录
  • 金属建材企业网站建设方案可以免费发广告的网站
  • 沧州网站改版优化上海网络推广培训学校
  • 哪个网站做批发的哈市今日头条最新
  • 富阳网站开发网络营销的特点有哪些特点
  • 建个网站能赚钱吗谷歌浏览器官网入口
  • 企业网站不足百度seo站长工具
  • 加油站顶棚网架价多少钱一平企业营销策划论文
  • 有哪个网站专业做漫画素材的搜索引擎推广成功的案例
  • 婚恋网站建设公司排名阿里指数网站
  • 西宁网站建设高端排名优化哪家专业
  • 做网站和平台多少钱高端网站制作