当前位置: 首页 > news >正文

FPGA基础 -- Verilog 格雷码(Gray Code)计数器设计与原理解析

格雷码(Gray Code)计数器设计与原理解析

一、格雷码简介

格雷码(Gray Code)又称为反射二进制码(Reflected Binary Code,简称RBC),是一种编码方式,其特点是在连续递增或递减的编码序列中,任意两个相邻码字仅有一位发生变化

这种编码方式最早由Frank Gray于20世纪中提出,广泛用于数字通信、编码器、异步FIFO等领域中。

二、使用格雷码的原因

1. 传统二进制计数的缺陷

二进制码在某些跳变中会发生多位同时变化的情况,例如从0111到1000会导致4位全变。在实际硬件门电路中,由于传播延迟不一致,可能造成错误的中间状态传递,从而引起亚稳态(Metastability)。

2. 格雷码的优势

  • 相邻状态只改变一位,减少电路切换时的毛刺风险。
  • 在跨时钟域传输时,降低亚稳态风险。
  • 在异步系统中更易实现稳定的比较和同步。

3. 工程应用场景

  • 异步FIFO的读写指针跨时钟传输。
  • 旋转编码器的位移采集。
  • 数据采样同步判断。
  • 内建自测试结构的状态扫描。

三、格雷码生成与解码原理

设普通二进制为B,格雷码为G:

  • 编码:G = B ^ (B >> 1)

  • 解码:

    • B[N-1] = G[N-1]
    • 对于 i=N-2 downto 0: B[i] = G[i] ^ B[i+1]

Verilog 可组合逻辑实现如下:

// 二进制转格雷码
assign gray = bin ^ (bin >> 1);// 格雷码转二进制
function [WIDTH-1:0] gray_to_bin;input [WIDTH-1:0] gray;integer i;begingray_to_bin[WIDTH-1] = gray[WIDTH-1];for (i = WIDTH-2; i >= 0; i = i - 1)gray_to_bin[i] = gray_to_bin[i+1] ^ gray[i];end
endfunction

四、Verilog 格雷码计数器设计

模块功能:

  • 每个时钟周期递增一次。
  • 输出当前格雷码。
  • 支持复位与使能控制。
module gray_counter #(parameter WIDTH = 4
)(input  wire clk,input  wire rst_n,input  wire en,output reg  [WIDTH-1:0] gray_out
);reg [WIDTH-1:0] bin_cnt;wire [WIDTH-1:0] gray_next;assign gray_next = bin_cnt ^ (bin_cnt >> 1);always @(posedge clk or negedge rst_n) beginif (!rst_n) beginbin_cnt   <= 0;gray_out  <= 0;end else if (en) beginbin_cnt   <= bin_cnt + 1;gray_out  <= gray_next;endendendmodule

五、异步FIFO中的应用逻辑

在异步FIFO设计中,读写指针处于不同的时钟域。

若直接传输二进制地址指针,可能在跨时钟时采样到不一致的状态位,产生逻辑错误。

采用格雷码的好处是:每次只变一位,即使发生采样错误,误差也限定在1位,且通过两级寄存器同步器可进一步稳定该位变化。

典型流程:

  1. 写指针二进制计数器 → 转格雷码。
  2. 将格雷码通过同步器传递给读时钟域。
  3. 在读时钟域中与本地读指针进行比较判断FIFO是否为空。
  4. 反向也相同。

六、满空状态判断(示例)

假设FIFO深度为2^N:

  • 空判断:读指针格雷码与同步过来的写指针格雷码相等。
  • 满判断:写指针格雷码与读指针格雷码低位相等,但高两位互补。
// 满判断(示意)
assign full = (wr_ptr_gray[N-1:N-2] != ~rd_ptr_gray_sync[N-1:N-2]) &&(wr_ptr_gray[N-3:0] == rd_ptr_gray_sync[N-3:0]);// 空判断
assign empty = (rd_ptr_gray == wr_ptr_gray_sync);

七、Gray 与 Binary 的比较表

特性Binary CodeGray Code
相邻状态跳变位数多位(0~N位)恒为1位
亚稳态风险(跨时钟)
可读性高(线性递增)低(非线性)
编码/解码复杂度简单编码易,解码复杂
用作跨时钟指针不推荐强烈推荐
仿真调试友好性直观需对照表解读

八、总结

  • 格雷码是一种只改变一位的安全编码方式,在异步系统中具备显著的工程价值。
  • 在异步FIFO中使用格雷码传递指针,可极大降低同步失败导致的数据错乱风险。
  • 格雷码的编码逻辑简洁,解码逻辑虽然略复杂但稳定可靠。
  • 是可靠同步设计中不可或缺的机制之一。

相关文章:

  • 投标网站建设服务承诺网站优化外包找谁
  • 兰州模板型网站建设沈阳关键字优化公司
  • wordpress营销模板seo岗位是什么意思
  • 做网站导航按钮怎么做360指数官网
  • 河北做网站怎么推广销售
  • 重庆建设银行官方网站首页百度网络营销中心app
  • 如何快速将iPhone中的文本保存到电脑上
  • Java课后习题(编程题)
  • 空间理解模型 SpatialLM 正式发布首份技术报告
  • Spring Web MVC ①
  • 深入剖析 Spring AOP
  • 【机器人编程基础】Python模块的定义和导入
  • Spring Boot 系统开发:打造高效、稳定、可扩展的企业级应用
  • 【AI论文】拖拽式大型语言模型:零样本提示到权重的生成
  • 机器学习基础 线性回归与 Softmax 回归
  • 【EI会议征稿】东北大学主办第三届机器视觉、图像处理与影像技术国际会议(MVIPIT 2025)
  • 惯性导航——陀螺仪
  • 移除wordpress后台“评论”菜单的三种方法
  • 云计算-Azure Functions :构建事件驱动的云原生应用报告
  • 深入理解提示词工程:原理、分类与实战应用
  • 远程控制软件哪个好用跨国安全
  • AI目前应用方向和落地的解决方案
  • 自动化测试--Appium和ADB及常用指令
  • 【android bluetooth 协议分析 10】【AVRCP详解1】【PlaybackStateCompat类如何查看】
  • C++ 多线程深度解析:掌握并行编程的艺术与实践
  • AES加密:为你的PDF文档加上一道钢铁防线