当前位置: 首页 > news >正文

FPGA基础 -- Verilog语言要素之变量类型

Verilog 变量类型(Variable Types)


一、什么是变量类型?

在 Verilog 中,变量类型用于保存过程赋值结果(由 always 或 initial 块赋值),通常用于建模寄存器、状态、计数器等“带记忆”的硬件行为。

它与 wire 不同,变量不代表电路连接线,而是代表一个可以存储值的逻辑存储单元


二、常见变量类型一览表

变量类型位宽支持默认值可综合用途说明
regx最常用,建模时序逻辑
integer❌(固定32位)x✔(有限支持)仿真用的整数、循环变量
real0.0浮点数仿真使用,不可综合
realtime0.0精确时间建模(和 real 相同)
time❌(64位)x✔(有限)表示时间戳
genvar✔(宏展开)generate 块中用于宏生成的变量

三、重点变量类型详解

1. reg 类型(最常用)

✅ 用途:
  • 建模寄存器或组合逻辑的中间变量
  • 必须在过程块中赋值:always, initial
✅ 示例:
reg [7:0] counter;always @(posedge clk or posedge rst)if (rst)counter <= 8'd0;elsecounter <= counter + 1;
⚠️ 注意:
  • reg ≠ “寄存器” → 它是过程变量综合后是否为寄存器取决于赋值方式
  • reg 不能在连续赋值中使用(如 assign

2. integer 类型(32 位有符号)

✅ 用途:
  • 用于循环变量、调试计数器、文件操作等仿真环境
  • 不能声明位宽
  • signed 类型
✅ 示例:
integer i;initial beginfor (i = 0; i < 10; i = i + 1)$display("i = %d", i);
end
⚠️ 注意:
  • 综合工具对 integer 支持有限,推荐用于仿真或将其替换为 reg [31:0]

3. realrealtime

✅ 用途:
  • 表示小数、浮点数(如仿真中的模拟温度、噪声等)
  • 不能进行按位操作
✅ 示例:
real pi;
initial beginpi = 3.1415926;$display("PI = %f", pi);
end
⚠️ 不可综合

4. time 类型(64 位无符号)

✅ 用途:
  • 表示时间单位,如延迟、时间戳
time t_start, t_end;initial begint_start = $time;#100;t_end = $time;$display("Elapsed time = %0t", t_end - t_start);
end

5. genvar(生成变量)

✅ 用途:
  • generate-for 块中,用于宏展开
  • 不能用于仿真运算,仅用于代码生成时的循环变量
genvar i;
generatefor (i = 0; i < 8; i = i + 1) begin : gen_blkassign y[i] = a[i] & b[i];end
endgenerate

四、变量赋值类型:阻塞与非阻塞

1. 阻塞赋值 =

  • 按顺序执行,一条语句执行完才执行下一条
  • 用于组合逻辑建模
a = b;
b = a;  // b 会等于原始 b,而不是 a

2. 非阻塞赋值 <=

  • 同步更新,常用于时序逻辑(always @(posedge clk))
  • 表示“值将在时钟沿之后统一更新”
a <= b;
b <= a;  // 同一时刻交换

🚨 常见误用

always @(posedge clk) begina = b;  // ❌ 错误:应使用非阻塞赋值
end

五、变量类型的可综合性对比

类型可综合常见用法
reg状态寄存器、计数器
integer✔(有限)仿真循环变量
real仿真模型
time✔(有限)延迟监测、时间戳
genvar✔(宏生成)结构展开、模块实例化

✅ 总结:变量与线网类型对比表

属性Net (wire)Variable (reg, integer, …)
可用于 assign
可用于 always
表示含义连接信号线存储状态
初始值zx(除 integer)
多驱动支持不支持
合成为电路✔(组合逻辑)✔(组合或时序逻辑)

✅ 建议实践风格

场景推荐使用
寄存器、状态机、计数器reg
循环变量、仿真测试integer
组合中间变量(always)reglogic
时序逻辑建模非阻塞 <=
组合逻辑建模阻塞 =
generate-for 宏生成genvar

相关文章:

  • JavaSE - Object 类详细讲解
  • C/C++中的位域(Bit-field)是什么?
  • 自然语言处理(NLP)核心技术:从词嵌入到Transformer
  • SSM框架:企业级Java开发利器
  • 【CUDA编程】OptionalCUDAGuard详解
  • 秋招是开发算法一起准备,还是只准备一个
  • 6.IK分词器拓展词库
  • 利用Tomcat本地部署Javaweb项目(windows)
  • 从CentOS迁移到TencentOS:9%成功率的一键替换实操
  • CppCon 2016 学习:The Exception Situation
  • Python编程基础
  • 计算机网络学习笔记:TCP流控、拥塞控制
  • 【QT】控件二(输入类控件、多元素控件、容器类控件与布局管理器)
  • 前端开发面试题总结-vue2框架篇(三)
  • 【6G技术探索】MCP协议整理分享
  • 黑马python(七)
  • 使用SymPy进行欧拉角与RPY角的符号与数值计算
  • 网络层协议 IP 协议介绍 -- IP 协议,网段划分,私有 IP 和 公网 IP,路由
  • java复习 20
  • VB逆向基础(一)
  • ppt做的好的网站有哪些/上海牛巨仁seo
  • 廊坊永清网站建设/推广渠道
  • 郑州家居网站建设/宁波seo服务
  • 安徽池州做企业网站/搜索引擎谷歌
  • 宾馆的网站回款如何做分录/外链工具软件
  • 有没有专门做教程的网站/网络营销毕业论文范文