当前位置: 首页 > wzjs >正文

做服装外贸的网站seo中文全称是什么

做服装外贸的网站,seo中文全称是什么,php动态网站开发案例教程答案,萍乡网站建设公司使用Xilinx的IDE创建新代码文件的时候,IDE会自动添加上一个timescale 1ns/1ps。 1、timescale 1ns/1ps只作用于软件仿真,不参与实际的编译。 2、timescale 1ns/1ps的功能和定义 timescale 仿真延时/仿真精度 以下面的一段代码为例 module sim_prbs_…

使用Xilinx的IDE创建新代码文件的时候,IDE会自动添加上一个`timescale 1ns/1ps。

1、`timescale 1ns/1ps只作用于软件仿真,不参与实际的编译。

2、`timescale 1ns/1ps的功能和定义

`timescale 仿真延时/仿真精度

以下面的一段代码为例

module sim_prbs_test;reg clk;reg reset;wire [7:0] prbs_out;wire error;prbs_test uut (.clk(clk),.reset(reset),.prbs_out(prbs_out),.error(error));initial beginclk = 0;reset = 1;#10 reset = 0;#1000 $stop;endalways #5 clk = ~clk;initial begin$monitor("Time: %0d, PRBS Out: %b, Error: %b", $time, prbs_out, error);end
endmodule

`timescale 1ns/1ps的含义:

[1]1ns表示仿真延时, #1 = 1ns,比如代码中的#10 reset = 0; 就是等待10ns后,reset置0.

[2]1ps是仿真的计算精度,也就是仿真后的结果图上能呈现的最小颗粒度是1ps,颗粒度越小,仿真计算的过程越漫长,所以大型仿真计算最好根据实际情况调整这个值,以提高仿真速度。1ps其实是非常小的结果,1ps对应的频率是1THz,如下图的时间轴。

而FPGA内部的逻辑通常也就是在500MHz(局部)以内的,大多数仿真不需要这么高的精度,尤其是做功能仿真的时候。

http://www.dtcms.com/wzjs/99228.html

相关文章:

  • 网站建设建设哪家好中央电视台新闻联播
  • 医疗图片做网站图片百度提升排名
  • 做词频分析的网站什么叫优化关键词
  • 网站开发 免代码可免费投放广告的平台
  • 找设计案例的网站最厉害的搜索引擎
  • 网站制武汉搜索推广
  • 总结做网站诊断步骤惠州seo优化服务
  • 个人网站备案要求做网络推广需要多少钱
  • 网站建站哪个品牌好baidu百度网盘
  • 做视频网站需要多少钱百度站长工具怎么查排名
  • 爱站工具包如何增加网站河北百度seo点击软件
  • 山东定制网页建站站长推荐入口自动跳转
  • 哔哩哔哩网页版怎么下载视频到本地武汉谷歌seo
  • 做分销网站系统下载班级优化大师免费下载电脑版
  • 个人网站 程序360站长平台
  • 还能电子商务网站建设企业网址怎么申请
  • 做机械的专业外贸网站有哪些百度有几个总部
  • 深圳做网站的爱情独白seo搜论坛
  • 广告传媒公司名字大全最新深圳网站设计专家乐云seo
  • 网站加视频播放设计怎么做的优化seo厂家
  • 公共服务平台seo综合查询平台官网
  • 阿里云nas做网站大连seo优化
  • 民主与建设出版社网站国内军事新闻最新消息
  • 如何做转运网站山东网络优化公司排名
  • 下载官方网站app如何在百度推广
  • 做网站如何添加视频怎么才能建立一个网站卖东西
  • 生活服务网站开发网络营销策略名词解释
  • 国外品牌网站建设seo综合查询工具有什么功能
  • 网站模板下载免费百度网址提交入口
  • 徐州高端网站建设软文推广发布平台