当前位置: 首页 > wzjs >正文

销售网站建设方案数据分析培训课程

销售网站建设方案,数据分析培训课程,有做货 物的网站吗,网站的备案手续case语句的用法: 计数器不断的计数,每一个num对应数码管一种数据的输出。实例通俗易懂,一目了然。 timescale 1ns / 1ps// Company: // Engineer: // // Create Date: // Design Name: // Module Name: // Project Name: //…

case语句的用法:

计数器不断的计数,每一个num对应数码管一种数据的输出。实例通俗易懂,一目了然。

`timescale 1ns / 1ps// Company: 
// Engineer:
//
// Create Date:    
// Design Name:    
// Module Name:    
// Project Name:   
// Target Device:  
// Tool versions:  
// Description:
//
// Dependencies:
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 欢迎加入EDN的FPGA/CPLD助学小组一起讨论:http://group.ednchina.com/1375/module led_seg7(clk,rst_n,sm_cs1_n,sm_cs2_n,sm_db	);input clk;						// 50MHz
input rst_n;					// 复位信号,低有效output sm_cs1_n,sm_cs2_n;		//数码管片选信号,低有效
output[6:0] sm_db;				//7段数码管(不包括小数点)reg[24:0] cnt;					//计数器,最大可以计数到2的25次方*20ns=640msalways @ (posedge clk or negedge rst_n)if(!rst_n) cnt <= 25'd0;else cnt <= cnt+1'b1;		//循环计数reg[3:0] num;					//显示数值always @ (posedge clk or negedge rst_n)if(!rst_n) num <= 4'd0;else if(cnt == 25'h1ffffff) num <= num+1'b1;	//每640ms增一//-------------------------------------------------------------------------------
/*	共阴极 :不带小数点;0,  1,  2,  3,  4, 5,  6,  7,  db      3fh,06h,5bh,4fh,66h,6dh,7dh,07h ;8,  9, a,  b,   c,  d,  e,  f , 灭   db      7fh,6fh,77h,7ch,39h,5eh,79h,71h,00h*/
parameter	seg0	= 7'h3f,seg1	= 7'h06,seg2	= 7'h5b,seg3	= 7'h4f,seg4	= 7'h66,seg5	= 7'h6d,seg6	= 7'h7d,seg7	= 7'h07,seg8	= 7'h7f,seg9	= 7'h6f,sega	= 7'h77,segb	= 7'h7c,segc	= 7'h39,segd	= 7'h5e,sege	= 7'h79,segf	= 7'h71;reg[6:0] sm_dbr;		//7段数码管(不包括小数点)always @ (num)case (num)	//NUM值显示在两个数码管上4'h0: sm_dbr <= seg0;4'h1: sm_dbr <= seg1;4'h2: sm_dbr <= seg2;4'h3: sm_dbr <= seg3;4'h4: sm_dbr <= seg4;4'h5: sm_dbr <= seg5;4'h6: sm_dbr <= seg6;4'h7: sm_dbr <= seg7;4'h8: sm_dbr <= seg8;4'h9: sm_dbr <= seg9;4'ha: sm_dbr <= sega;4'hb: sm_dbr <= segb;4'hc: sm_dbr <= segc;4'hd: sm_dbr <= segd;4'he: sm_dbr <= sege;4'hf: sm_dbr <= segf;default: ;endcaseassign sm_db = sm_dbr;
assign sm_cs1_n = 1'b0;		//数码管1常开
assign sm_cs2_n = 1'b0;		//数码管2常开endmodule

 Enjoy it.

http://www.dtcms.com/wzjs/93593.html

相关文章:

  • 学做网站的笔记本策划推广活动方案
  • 商业网站建设设计公司发稿吧
  • 镇江微网站建设十大网络营销成功案例
  • 联想网站建设与分析seo研究中心倒闭
  • 收费做网站福州搜索引擎优化公司
  • it运维职业规划重庆seo多少钱
  • 陶瓷网站策划书郑州网络运营培训
  • 潮汕17网站一起做网店官网网站维护工作内容
  • 如何做企业网站加v合肥网站排名推广
  • 新任上海市领导调整公示seo手机端排名软件
  • 在哪里能找到做网站的人百度热搜榜排名
  • 中国建设银行用e路这么进网站黄金网站软件免费
  • 网站空间 哪个速度快设计培训学院
  • 做网站哪些公司比较靠谱百度文库官网入口
  • 网站导航栏种类病毒式营销方法
  • 网站开发后台需要哪些技术百度学术官网
  • 网站建设售后支持百度指数行业排行
  • 网站后台是做什么的网站设计与网页制作
  • 中国著名的做网站渗透关于友情链接的作用有
  • seo查询站长工具数据分析师培训需要多少钱
  • 哈尔滨网络宣传与网站建设百度云盘资源共享链接群组链接
  • 网站设计的经费预算男生技能培训班有哪些
  • 做网站有什么要求吗seo是付费还是免费推广
  • 农产品网站开发 文献综述免费制作链接
  • 南京江宁网站制作软文大全800字
  • 宝鸡网站建设运营百度下载免费安装
  • html网站怎么搭建整合营销方案案例
  • 怎样才能建设只是于自己的网站搜索引擎外部链接优化
  • 浙江省城乡与住房建设厅网站整合营销的特点有哪些
  • 艺术字logo在线生成器昆明网站seo服务