当前位置: 首页 > wzjs >正文

京东淘宝网站是怎么做的做网站看好金石网络

京东淘宝网站是怎么做的,做网站看好金石网络,新手做网站买服务器,网站维护总结由于fpga实现除法相对复杂,故将除法变为乘法再移位。因此每种方法对图像输入数据均分3步进行,极其有效信号打三拍处理。 timescale 1ns / 1ps // // Description: 彩色图像灰度化 // module image_rgb2gray(input wire clk ,input wir…

由于fpga实现除法相对复杂,故将除法变为乘法再移位。因此每种方法对图像输入数据均分3步进行,极其有效信号打三拍处理。

`timescale 1ns / 1ps
//
// Description: 彩色图像灰度化
//
module image_rgb2gray(input  wire          clk       ,input  wire          reset     ,input  wire          valid_i   ,input  wire [23:0]   img_data_i,output wire          valid_o   ,output wire [23:0]   img_data_o 
);//常量parameter MODE = 1;  //0表示加权平均法,1表示平均法 //Y=0.299*R十0.587*G+0.114*Bparameter C0 = 9'd306; //0.299*1024;parameter C1 = 10'd601;//0.587*1024;parameter C2 = 7'd117; //0.114*1024;//参数声明wire [7:0] R, G, B;assign {R, G, B} = img_data_i;generate if (MODE) begin//1-平均法 1/3 * 512 = 171reg valid_d1;   reg [9:0] RGB_avr;reg valid_d2;   reg [16:0] RGB_avr_m;reg valid_d3;   reg [7:0] RGB_new;always@(posedge clk or posedge reset) beginif(reset) beginvalid_d1 <= 'b0;RGB_avr <= 'b0;end else beginvalid_d1 <= valid_i;RGB_avr <= R + G + B;endend//最大值不可能超过255*3*171 = 17'd130815always@(posedge clk) beginRGB_avr_m <= RGB_avr * 8'd171;endalways@(posedge clk or posedge reset) beginif(reset) beginvalid_d2 <= 'b0;end else beginvalid_d2 <= valid_d1;endend//最大值不可能超过255always@(posedge clk or posedge reset) beginif(reset) beginvalid_d3 <= 'b0;RGB_new <= 'b0;end else beginvalid_d3 <= valid_d2;RGB_new <= RGB_avr_m[16:9];endendassign valid_o = valid_d3;assign img_data_o = {3{RGB_new}};end else begin//0-加权平均法reg valid_d1;reg [16:0] Y_R_m;reg [17:0] Y_G_m;reg [14:0] Y_B_m;reg valid_d2;reg [17:0] Y_s;//最大值,当RGB都等于255时,(C0 + C1 + C2)*255 = 1024*255;不会出现负数reg valid_d3;reg [7:0] Y;always@(posedge clk ) beginY_R_m <= R*C0;Y_G_m <= G*C1;Y_B_m <= B*C2;endalways@(posedge clk or posedge reset) beginif(reset) beginvalid_d1 <= 0;end else beginvalid_d1 <= valid_i;endend    always@(posedge clk or posedge reset) beginif(reset) beginY_s <= 0;valid_d2 <= 0;end else beginif(valid_d1) beginY_s <= Y_R_m + Y_G_m + Y_B_m;endvalid_d2 <= valid_d1;endendalways@(posedge clk or posedge reset) beginif(reset) beginY <= 0;valid_d3 <= 0;end else beginif(valid_d2) beginY <= Y_s[17:10];endvalid_d3 <= valid_d2;endend  assign valid_o = valid_d3;assign img_data_o = {3{Y}};end        endgenerateendmodule


文章转载自:

http://wCYwat83.qpntn.cn
http://e2sNnKfr.qpntn.cn
http://j3WbFD69.qpntn.cn
http://Wev6RuXl.qpntn.cn
http://vz8ZV2Eb.qpntn.cn
http://NlhOhnsy.qpntn.cn
http://sv4jphKe.qpntn.cn
http://XUCAg76I.qpntn.cn
http://VOAI4sOq.qpntn.cn
http://DNBenX5v.qpntn.cn
http://svNymixo.qpntn.cn
http://ZBguoIl7.qpntn.cn
http://fFf166Pf.qpntn.cn
http://QfFmDwEj.qpntn.cn
http://Ut7CNoAd.qpntn.cn
http://lM0unawt.qpntn.cn
http://7C6Edk3m.qpntn.cn
http://FnvCzos4.qpntn.cn
http://vlUrYEYB.qpntn.cn
http://F1lxGN4S.qpntn.cn
http://k5QzbIbB.qpntn.cn
http://7xMGrOyh.qpntn.cn
http://jCaoebw7.qpntn.cn
http://s7RvZieV.qpntn.cn
http://RBAXQprg.qpntn.cn
http://Jun82rSB.qpntn.cn
http://fcDcSeWC.qpntn.cn
http://puPAbGNk.qpntn.cn
http://CVmOYlFp.qpntn.cn
http://Z1GiHL3Y.qpntn.cn
http://www.dtcms.com/wzjs/754652.html

相关文章:

  • 房地产建筑公司网站注册免费
  • 在线答题网站开发wordpress置顶文章全文显示
  • 有没有专门找装修公司的网站企业软件定制开发
  • dede本地搭建网站建站快车打电话
  • 网站建设三方协议郑州seo优化公司
  • 如何做漫画网站江北seo综合优化外包
  • 网站落地页和普通网页中小企业网站开发
  • dede网站模板怎么安装如何建立一个网站要多少钱
  • 一分钟建设网站做淘宝美工需要知道的网站
  • 网站推广的基本方法是哪四个wordpress彩票
  • 做音乐分享的网站久久建筑网怎么不好用
  • 建立企业门户网站太原网站建设服务
  • 我要招人在哪个网站招五一网站个人空间
  • 男女做羞羞的事网站网站建设费可以计入办公费用么
  • 网站服务器主机配置网站搭建上门多少钱
  • 闲鱼网站如何赚钱66建筑网
  • 建设网站需要多少人网站模板样式
  • 中国建设网官方网站6怎么做论坛的网站吗
  • 域名怎么绑定网站什么网站做app好
  • 网站建设摊销年限手机企业网站模板
  • 网站维护和推广方案网站开发如何搭建框架
  • 个人网站备案填写wordpress 砍价插件
  • 经典的企业网站郑州做网站优化价格
  • 临沂网站建设设计公司开网站备案流程
  • 苏州建网站的公司招怀化废品回收市场在哪
  • 网站网页框架构架图怎么做网络营销的概念及内容
  • 网站建设与管理自考网站建设zrhskj
  • 外综服务平台哪里做网站5分钟宣传片报价明细
  • wordpress 开启侧边栏网站建设优化学习
  • wordpress网站很慢网站代运营收费