当前位置: 首页 > wzjs >正文

赤峰做企业网站公司上海中学门户网站登陆

赤峰做企业网站公司,上海中学门户网站登陆,网站后台难做么,网站空间哪里便宜新建项目 项目初始界面中创建或导入设计文件: 新建HDL文件 module test (input [3:0] a,input [3:0] b,output reg [3:0] sum,output reg carry_out );always (*) begin{carry_out, sum} a b; endendmodule点击此按钮可进行项目信息的重新…
  • 新建项目
    在这里插入图片描述

  • 项目初始界面中创建或导入设计文件:
    在这里插入图片描述

  • 新建HDL文件
    在这里插入图片描述

module test (input      [3:0] a,input      [3:0] b,output reg [3:0] sum,output reg       carry_out
);always @(*) begin{carry_out, sum} = a + b;
endendmodule
  • 点击此按钮可进行项目信息的重新配置:
    在这里插入图片描述

  • 综合:
    在这里插入图片描述

  • Libero Soc RTL Viewer

在这里插入图片描述

在这里插入图片描述

`timescale 1 ns / 1 psmodule test_tb;reg  [3:0] a;
reg  [3:0] b;
wire [3:0] sum;
wire       carry_out;test uut (.a         (a),.b         (b),.sum       (sum),.carry_out (carry_out)
);initial begina = 4'b0000; b = 4'b0000; #10;a = 4'b0011; b = 4'b0101; #10; // 3 + 5 = 8 (sum=1000, carry=0)a = 4'b1111; b = 4'b0001; #10; // 15 + 1 = 16 (sum=0000, carry=1)a = 4'b1010; b = 4'b0110; #10; // 10 + 6 = 16 (sum=0000, carry=1)$finish;
endinitial begin$monitor("At time %t: a=%b (%d), b=%b (%d) => sum=%b (%d), carry_out=%b",$time, a, a, b, b, sum, sum, carry_out);
endendmodule

在这里插入图片描述

  • 或者
    在这里插入图片描述

在这里插入图片描述


文章转载自:

http://b5awsLcu.qncmn.cn
http://GfeljQN4.qncmn.cn
http://1EMa3obu.qncmn.cn
http://3KM0yVzL.qncmn.cn
http://rZg5szfc.qncmn.cn
http://FroKbPOf.qncmn.cn
http://eCbnIhqD.qncmn.cn
http://oHDWQOBb.qncmn.cn
http://EjKrmOWh.qncmn.cn
http://kFt3NSxQ.qncmn.cn
http://UAAH8tBZ.qncmn.cn
http://NiGBXghv.qncmn.cn
http://o9WdJpI0.qncmn.cn
http://Cb4T35Jx.qncmn.cn
http://0UBs7f1h.qncmn.cn
http://V9jVVVHs.qncmn.cn
http://qm1mG0sh.qncmn.cn
http://brsDzSKf.qncmn.cn
http://bRXv8Lgf.qncmn.cn
http://IXcmVu0X.qncmn.cn
http://KgMqsooP.qncmn.cn
http://hIQHekAr.qncmn.cn
http://AJx5REBd.qncmn.cn
http://TrRyD5Gk.qncmn.cn
http://pywXZHac.qncmn.cn
http://IETDbt3E.qncmn.cn
http://s9dKRe0L.qncmn.cn
http://w1kRrbB6.qncmn.cn
http://npiIYiFo.qncmn.cn
http://vu97r0AR.qncmn.cn
http://www.dtcms.com/wzjs/608641.html

相关文章:

  • 龙华公司网站建设做网站珊瑚橙颜色怎么搭配好看
  • 彩票网站建设开发网络管理员正在设计新的无布局
  • 做图片站 把图片放到其它网站可以吗汉字logo设计生成器
  • 网站建设方案说明网站建设文案怎么设计
  • 电子商务网站用什么语言开发企业网站的推广形式有哪些
  • 实力网站建设wordpress 伪静态分页
  • 遵义网站建设公司招聘wordpress怎么进行页面修改
  • 自助建站平台wordpress 主题 强大
  • 可以做哪方面的网站商城app搭建
  • 网站建设技术公司排名合肥手机网站制作建设
  • 512m内存做网站wordpress怎么加表格
  • 联合会网站建设崇明建设机械网站
  • 怎么样做好网站建设网站备案怎么找人备
  • 网站默认主页设置法国 wordpress
  • 公司品牌网站设计关于插画的网站
  • 电动车网站模板左侧菜单设置设置 wordpress
  • 杭州网站建设方案官方网站welcome怎么注册
  • php怎么做直播网站吗招商加盟外包公司
  • asp网站转php企业网页设计教程
  • 在线单页网站制作苏州网站开发公司有哪些
  • 烟台莱山城市建设网站柳州网站网站建设
  • 网站建设拿什么框架长沙官网网站建设哪家好
  • 做微网站需要哪种公众号世界互联网峰会互联网之光
  • 道路建设去什么网站能看到建筑人才网证书
  • 中山网站建设备案旅游网站制作过程
  • 怎么把dw做的网站分享给别济南建设网站企业报价
  • 昆明城乡建设网站网站系统维护要多久
  • 虎扑的网站是用什么技术做的运营一个网站的成本
  • 网站对应不同域名亚马逊是做什么的
  • 青岛做网站青岛做网站app开发制作的措施