当前位置: 首页 > wzjs >正文

wordpress站点费用国内购物网站排名

wordpress站点费用,国内购物网站排名,网络规划设计师专项提升,crm排名在 UVM 验证环境中,uvm_config_db 是一种强大的机制,用于在不同组件之间传递配置参数。根据你的描述,我们可以结合UVM 树结构来解释直线和非直线的设置与获取。 UVM 树结构示例 假设 UVM 树结构如下: uvm_test_top ├── env …

在 UVM 验证环境中,uvm_config_db 是一种强大的机制,用于在不同组件之间传递配置参数。根据你的描述,我们可以结合UVM 树结构来解释直线和非直线的设置与获取。

UVM 树结构示例

假设 UVM 树结构如下:

uvm_test_top
├── env
│   ├── i_agt
│   │   └── drv (driver)
│   ├── scb (scoreboard)
│   └── ref_model (reference model)

其中,driver 的路径为 uvm_test_top.env.i_agt.drv

直线设置与非直线设置

  1. 直线设置

    • 如果在 uvm_test_topenvi_agt 中对 driver 的某些变量进行设置,这种设置称为直线设置

    • 例如,在 env 中设置 driverpre_num 参数:

function void env::build_phase(uvm_phase phase);super.build_phase(phase);uvm_config_db#(int)::set(this, "i_agt.drv", "pre_num", 100);
endfunction
  • 这种设置方式符合 UVM 的层次结构,路径清晰且易于管理。

非直线设置

  • 如果在其他组件(如 scoreboardreference model)中对 driver 的某些变量进行设置,则称为非直线设置

  • 例如,在 scoreboard 中设置 driverpre_num 参数:

function void my_scoreboard::build_phase(uvm_phase phase);super.build_phase(phase);uvm_config_db#(int)::set(this.m_parent, "i_agt.drv", "pre_num", 200);
endfunction

或者:

function void my_scoreboard::build_phase(uvm_phase phase);super.build_phase(phase);uvm_config_db#(int)::set(uvm_root::get(), "uvm_test_top.env.i_agt.drv", "pre_num", 200);
endfunction
  • 非直线设置可能会带来风险,因为 UVM 并未明文规定同一级别组件(如 scbi_agt)的 build_phase 执行顺序。因此,当 driver 获取参数时,scoreboardbuild_phase 可能尚未执行,导致参数设置失败。

直线获取与非直线获取

  1. 直线获取

    • driver 中通过 uvm_config_db::get 获取其他组件设置的参数,称为直线获取

    • 例如,在 driver 中获取 pre_num 参数:

function void my_driver::build_phase(uvm_phase phase);super.build_phase(phase);int pre_num;void'(uvm_config_db#(int)::get(this, "", "pre_num", pre_num));`uvm_info("my_driver", $sformatf("pre_num = %0d", pre_num), UVM_LOW)
endfunction

非直线获取

  • 如果在其他组件(如 reference model)中获取其他组件设置给 driver 的参数值,则称为非直线获取

  • 例如,在 reference model 中获取 driverpre_num 参数:

function void my_ref_model::build_phase(uvm_phase phase);super.build_phase(phase);int pre_num;void'(uvm_config_db#(int)::get(this.m_parent, "i_agt.drv", "pre_num", pre_num));`uvm_info("my_ref_model", $sformatf("pre_num = %0d", pre_num), UVM_LOW)
endfunction
  • 这种方式同样需要确保路径正确,并且设置操作在获取操作之前完成。

注意事项

  • 路径正确性:无论是直线还是非直线设置/获取,路径必须正确。可以使用 get_full_name() 或相对路径来确保路径的准确性。

  • 执行顺序:非直线设置可能会因执行顺序问题导致失败。建议尽量避免非直线设置,除非有明确的必要。

  • 调试:如果设置或获取失败,可以使用 uvm_config_db::check_config_usage 来检查配置参数的使用情况。

http://www.dtcms.com/wzjs/595658.html

相关文章:

  • 网站推广排名公司做视频用的网站有哪些
  • 手机端网站开发教程专业柳州网站建设多少钱
  • 网站建设招标流程钉钉邮箱登录入口
  • 江西省建设厅网站制作灯笼的手工做法简单漂亮
  • 一个门户网站需要多大的空间怎样将qq空间建设为个人网站
  • 全国企业信用信息公示系统网站想在网站里添加超链接怎么做
  • wordpress国外主题网站秦皇岛seo
  • 做网站要花多少钱wordpress小工具跟随
  • 网站开发流程可规划为哪三个阶段网站建设费计入 科目
  • 代码网站怎么制作初中文凭怎么自考大专
  • 做销售网站多少钱单位网站建设要多少钱
  • 快速做网站公司报价历史文化类网站源码
  • 电商网站开发的底层架构贵阳国家经济技术开发区门户网站
  • 硬笔书法网站是谁做的酒店网站怎么做
  • 信誉好的做网站公司成都定制app开发公司
  • 做守望先锋h的网站做视频网站审核编辑有假么
  • 三亚网seo怎么刷关键词排名
  • 制作企业网站htmlwordpress赞赏功能
  • 软装设计案例网站网站制作div区域是哪儿
  • 青岛做公司网站注册的多吗镇江网站建设优化案例分析
  • 官网网站建设研究公司名称大全简单大气三个字
  • 福州百度做网站多少钱北京橙乐视觉广告有限公司
  • 山东网站建设哪家公司好电子元器件网站建设
  • 网站前置审批类型wordpress 添加主题编辑器
  • 邢台县教育局五库建设网站学做网站开发要1万6
  • 备案网站域名和主机关系最近三天的国际新闻大事
  • 湖南响应式网站建设费用贵阳做网站费用
  • 为什么那么多人建网站做博客装饰公司接单技巧
  • c语言 做网站ppt素材大全免费图片
  • 外贸网站建设十大标准石家庄商城网站制作