当前位置: 首页 > wzjs >正文

酒店网站建设 源码上海aso苹果关键词优化

酒店网站建设 源码,上海aso苹果关键词优化,静海的做网站,广东建设继续教育网站文章目录 前言示例一:AXI总线验证环境1. 环境结构2. 阶段执行顺序与依赖实现3. 关键阶段代码详解4. 执行顺序验证5. 设计要点附:阶段依赖关系示意图示例二:AXI-Lite寄存器控制验证环境1. 验证组件结构2. 阶段执行流程详解Phase 1: build_phasePhase 2: connect_phasePhase 3…

文章目录

  • 前言
  • 示例一:AXI总线验证环境
    • 1. 环境结构
    • 2. 阶段执行顺序与依赖实现
    • 3. 关键阶段代码详解
    • 4. 执行顺序验证
    • 5. 设计要点
    • 附:阶段依赖关系示意图
  • 示例二:AXI-Lite寄存器控制验证环境
    • 1. 验证组件结构
    • 2. 阶段执行流程详解
      • Phase 1: build_phase
      • Phase 2: connect_phase
      • Phase 3: run_phase
      • Phase 4: extract_phase
      • Phase 5: check_phase
      • Phase 6: report_phase
    • 3. 阶段依赖关系实现
    • 4. 关键设计要点


前言

UVM 阶段按照严格的顺序执行,确保验证环境的正确初始化、运行和结果分析。以下是主要阶段的执行顺序:
Build → Connect → Run → Extract → Check → Report
依赖关系:
Build 阶段必须在 Connect 阶段之前完成,因为需要先实例化组件才能连接它们。
Run 阶段依赖于 Connect 阶段,确保所有通信通道已建立。
Extract 和 Check 阶段依赖于 Run 阶段,因为它们需要仿真结果进行分析。
Report 阶段是最后一个阶段,依赖于所有其他阶段的结果。


以下是一个基于UVM阶段执行顺序的完整验证项目示例,结合阶段依赖关系与典型应用场景:


示例一:AXI总线验证环境

1. 环境结构

class axi_test extends uvm_test;`uvm_component_utils(axi_test)axi_env env;        // 验证环境axi_vseq vseq;      // 虚拟序列function void build_phase(uvm_phase phase);super.build_phase(phase);env = axi_env::type_id::create("env", this);  // 实例化环境endfunctiontask run_phase(uvm_phase phase);phase.raise_objection(this);vseq = axi_vseq::type_id::create("vseq");vseq.start(null);  // 启动虚拟序列phase.drop_objection(this);endtask
endclassclass axi_env extends uvm_env;`uvm_component_utils(axi_env)axi_agent master_agent;  // AXI主设备代理axi_scoreboard scb;      // 计分板function void build_phase(uvm_phase phase);super.build_phase(phase);master_agent = axi_agent::type_id::create("master_agent", this); // 实例化组件scb = axi_scoreboard::type_id::create("scb", this);endfunctionfunction void connect_phase(uvm_phase phase);super.connect_phase(phase);master_agent.monitor.item_port.connect(scb.imp);  // 连接监视器到计分板endfunction
endclass

2. 阶段执行顺序与依赖实现

阶段代码实现依赖关系说明
Build实例化axi_envaxi_agent等组件必须优先完成组件创建
Connect连接monitorscoreboard的TLM端口依赖Build阶段的组件实例化
Run启动虚拟序列生成AXI事务并驱动到DUT依赖Connect阶段的端口连接
Extract在计分板中收集事务统计信息依赖Run阶段的仿真结果
Check比较DUT输出与预期值依赖Extract阶段的数据收集
Report输出覆盖率报告和错误统计汇总所有阶段结果

3. 关键阶段代码详解

Extract阶段(数据提取)

class axi_scoreboard extends uvm_scoreboard;int
http://www.dtcms.com/wzjs/468118.html

相关文章:

  • 江苏建设管理信息网站广告推广怎么找客户
  • 北京装饰公司名录互联网关键词优化
  • tomcat做网站什么平台可以免费发广告
  • 福建两学一做网站株洲网页设计
  • 做网站(信科网络)百度搜索推广怎么做
  • 做中介开什么网站专注于品牌营销服务
  • 养老院微网站建设方案网站推广方案策划书2000
  • 做微信商城网站建设百度seo排名优化公司
  • 网站开发建设计入什么科目淘宝seo优化
  • 手机自适应网站建设维护市场推广渠道有哪些
  • 株洲网站建设技术公司百度链接提交收录入口
  • 洛阳微信平台网站建设seo博客教程
  • 济南做网站哪家好商业软文案例
  • dedecms网站安装网站运营指标
  • 遵义网站建设哪家强互联网营销模式有哪些
  • 网站建设有几块百度售后客服电话24小时
  • 免费的oa办公系统排名aso优化方案
  • 公司做免费网站培训心得简短50字
  • 项目招商网站大全西安seo关键词查询
  • 网站的分享按键搜索引擎优化的技巧
  • 万网网站建设教程新媒体运营主要做什么
  • 自己做网站前端开发外贸推广渠道有哪些
  • 郑州网站seo分析网络营销战略的内容
  • 个人或主题网站建设 实验体会苏州搜索引擎排名优化商家
  • 商丘做网站推广的公司网站改版公司哪家好
  • 网站改版做301重定向网络营销策划书范文模板
  • 长沙做模板网站2023年最新时政热点
  • 河北省住宅和城乡建设厅网站企业营销策划书
  • 深圳市住房建设部网站google推广技巧
  • 做网站建本地环境作用北京外贸网站优化