当前位置: 首页 > wzjs >正文

泰安肥城做网站的公司网络营销策划书总结

泰安肥城做网站的公司,网络营销策划书总结,wordpress付费破解版,南宁高端网站建设VSCode Verilog环境搭建 下载Iverilog安装Iverilog验证安装VS Code安装插件 下载Iverilog 官网下载Iverilog 安装Iverilog 一定要勾选这两项 建议勾选这两项 验证安装 运行Windows PowerShell输入命令:iverilog输入命令:Get-Command gtkwave …

VSCode Verilog环境搭建

  • 下载Iverilog
  • 安装Iverilog
  • 验证安装
  • VS Code安装插件

下载Iverilog

官网下载Iverilog
在这里插入图片描述

安装Iverilog

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
一定要勾选这两项
在这里插入图片描述
在这里插入图片描述
建议勾选这两项
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

验证安装

  • 运行Windows PowerShell
  • 输入命令:iverilog
  • 输入命令:Get-Command gtkwave
    在这里插入图片描述

VS Code安装插件

  • 搜索安装:Verilog-HDL插件
  • 搜索安装:Digital IDE插件
    在这里插入图片描述

在这里插入图片描述
创建counter.v文件

module counter(input clk,  // 27MHzoutput [5:0] led);parameter DELAY_MAX = 13_500_000;reg [$clog2(DELAY_MAX+1)-1 : 0] delay_cnt=0;reg [5:0] led_reg = 0;always @(posedge clk) beginif (delay_cnt == DELAY_MAX-1) begindelay_cnt <= 0;endelse begindelay_cnt <= delay_cnt + 1'b1;endendalways @(posedge clk) beginif (delay_cnt == DELAY_MAX -1) beginled_reg <= led_reg + 1'b1;endendassign led = ~led_reg;endmodule //moduleName

创建counter_tb.v (testbeanch测试实例)

`timescale 1ns/1nsmodule testbench();reg clk;wire [5:0] led;always # 1 clk=~clk;initial beginclk = 0;endinitial begin$dumpfile("wave.vcd");$dumpvars(0, testbench);#6000 $finish;endcounter #(.DELAY_MAX(5)) u_counter(.clk(clk),.led(led));endmodule

使用iverilog编译器将Verilog代码和测试文件编译成VVP文件:

  • 命令行执行:iverilog -o “test_tb.vvp” .\counter_tb.v .\counter.v

在命令行中运行生成的VVP文件,生成VCD波形文件:

  • vvp test_tb.vvp

使用GTKwave打开生成的VCD文件,查看仿真波形:

  • gtkwave.exe -L .\wave.vcd
    在这里插入图片描述
http://www.dtcms.com/wzjs/320511.html

相关文章:

  • 深圳旅游攻略景点推荐seo技术平台
  • 学院网站设计方案临沂百度推广多少钱
  • 怎么做自己的优惠淘网站网络推广营销网
  • 西安专题门户响应式网站建设友链交换平台源码
  • 秦皇岛建设局网站6定制型营销网站建设
  • 青海省交通建设厅网站首页seo广告优化多少钱
  • 自己做网站靠什么赚钱站长工具搜索
  • 网站制作开发技术百度免费推广平台
  • wordpress后台添加字段开封网站优化公司
  • 怎么建网站app百度怎么推广产品
  • 宿州市住房建设委员会网站跨境电商培训机构哪个靠谱
  • 成都哪家网站建设好成都网络营销公司
  • 暂时关闭wordpress插件seo优化与sem推广有什么关系
  • 如何知道一个网站是谁做的软文发稿网站
  • 网站建设及推广好学习吗网页模板大全
  • 神马站长平台新网站百度多久收录
  • php网站开发txtseo关键词推广方式
  • wordpress首页弹窗杭州网站优化多少钱
  • 导购网站如何做免费推广2022最新版百度
  • 公众号江苏建设信息网站如何制作自己的链接
  • 建立网站容量天津seo网站排名优化公司
  • 一个网站需要怎么做南宁百度seo排名价格
  • 哪个网站可以做今日头条排版百度seo正规优化
  • 松江网站建设360搜索引擎首页
  • 怎么做网站安全检测本网站三天换一次域名
  • 做网站用什么后台网络营销过程步骤
  • 互联网公司排名前1000个百度seo引流怎么做
  • 网站程序的设计费用亚马逊关键词搜索器
  • 建网站盈利的几种方式个人网站源码免费下载
  • 大型网站建设济南兴田德润团队怎么样百度投诉电话24小时