当前位置: 首页 > wzjs >正文

连云港外贸网站建设seo搜索引擎优化就业指导

连云港外贸网站建设,seo搜索引擎优化就业指导,镇江峻程网络科技有限公司,建网站得多少钱FIFO 本质是由 RAM 加上读写逻辑构成的先入先出的数据缓冲器。与 RAM 的区别是 FIFO 没有外部读写地址线,顺序写入顺序读出数据,其数据地址是由内部读写指针自增完成,因此 FIFO 在读写时不需要考虑读写冲突的问题。 根据 FIFO 工作的时钟域&a…

FIFO 本质是由 RAM 加上读写逻辑构成的先入先出的数据缓冲器。与 RAM 的区别是 FIFO 没有外部读写地址线,顺序写入顺序读出数据,其数据地址是由内部读写指针自增完成,因此 FIFO 在读写时不需要考虑读写冲突的问题。
根据 FIFO 工作的时钟域,可以分为同步 FIFO 和异步 FIFO,同步 FIFO 的读时钟和写时钟是同一个时钟,常用于两边数据位宽不同的临时缓冲,异步 FIFO 的读时钟和写时钟不一致,常用于数据信号跨时钟域处理。

时序图

同步 fifo

外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传
初始状态 empty 信号是高电平,此时 fifo 为空,若此时对 fifo 发起读操作,则读取到的数据无效。当 wr_en 拉高后,开始向 fifo 内部写入数据,fifo 中有数据后,empty 信号就会拉低。后面同时发起读写操作后,因为是同步 fifo,所以标志位不会发生变化。
只写不读时,fifo 中存在两个及以上的数据,此时 almost empty 也会拉低。当 fifo 处于写满状态时,当 fifo 只能接受一次只写不读操作时,almost full 将会拉高,最后,在没有进行读操作的情况下,再进行了一次写操作,full 信号就会被拉高,说明此时的 FIFO 已经写满了,在发出读请求之前将无法再写入任何数据,如果此时再写入数据,数据就会丢失。

AXIS FIFO


时序图如下:
外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传
写入数据时,s_axis_tvalid 为高电平,同时 full 为低电平,取反后为 s_axis_tready 为高电平,此时才能写入数据。
读数据时同理,只有 validready 信号同时拉高时才能读出数据。
m_axis_tvalid 对应 fifowr_en 信号,m_axis_tready 对应 fifofull 信号取反,s_axis_tvalid 对应 fifoempty 信号取反,s_axis_tready 对应 fiford_en

AXIS fifo

Axis fifo 的 IP 核界面如下:
|500
本次是学习 FIFO 的异步读写,因此将 independent clock 选为‘是’,并且不使能 packet 模式。Bd 框图如下:

其中 clk_wiz 的输出有两个,端口 1 的时钟速率为 100 M H z 100MHz 100MHz,端口 2 的时钟速率为 50 M H z 50MHz 50MHzaxis_data_sourceaxis_dest 的源代码如下:

module axis_data_source (input s_axis_clk,input s_axis_rstn,input m_axis_tready,output [7:0] m_axis_tdata,output m_axis_tvalid 
);reg [7:0] cnt;always @(posedge s_axis_clk or negedge s_axis_rstn) beginif(!s_axis_rstn) begincnt<=0;endelse beginif(m_axis_tready) beginif(cnt==255) begincnt<=0;endelse begincnt<=cnt+1'b1;endendend
end
assign m_axis_tdata=cnt;
assign m_axis_tvalid=1'b1;endmodule/*read fifo data*/
module axis_dest (input s_axis_clk,input s_axis_tvalid,input [7:0] s_axis_tdata,output s_axis_tready
);assign s_axis_tready=1'b1;endmodule

其中 data_source 100 M H z 100MHz 100MHz 的速率生成并输出 0 − 0 x F F 0-0xFF 00xFFaxis_dest 一直以 50 M H z 50MHz 50MHz 的速率读取数据。时序图如下:

可以看到开始时 FIFO 未被写满,此时写入和读出互不干扰,随着数据不断写入而不能被马上读出,在一段时间后 FIFO 的 full 信号拉高,对应 m_axis_tready 信号拉低,axis_data_source 不在生成新的数据,仿真图如下:

可以看到此时写入和读出的速率经过 valid 和 ready 信号的使能而同步。

http://www.dtcms.com/wzjs/256177.html

相关文章:

  • 天津网站建设网页设计公司搜索引擎营销的特点是什么
  • 企业网站建设原则今日新闻头条新闻最新
  • 旅游网站的设计西地那非片能延时多久
  • 怎样设计个人网站营销策划的重要性
  • 英国T4学生签证 可以做网站吗南通做网站推广的公司
  • 泰州网站建设多少钱2024年新闻摘抄十条
  • 宿州做企业网站公司怎么开一个网站平台
  • 除了Joomla用什么做网站好广州竞价托管
  • 网站开发工程师工作描述青岛网站制作
  • 做网站如何挑选服务器厦门seo外包服务
  • 网站建立于网页设计搜索关键词排名优化服务
  • 南阳做玉器网站软文营销策划
  • 网上作业网站怎么做的提交链接
  • 轻松学做网站seo是什么软件
  • 宜昌 医院 网站建设头条新闻 最新消息条
  • 住房和城乡建设厅官方网站网络营销推广的方式
  • 前端开发工程师招聘信息seo短期课程
  • 做法城乡建设部网站提高工作效率总结心得
  • 网站建设书凡科建站多少钱
  • 网站忘记备案百度本地推广
  • 天津建站模板网站发布
  • 我在学校志愿队做网站的经历潮州网站建设
  • 安阳建设网站游戏推广怎么做引流
  • 微信网站开发源代码重庆网站seo诊断
  • 东莞网站建设方案推广网站制作公司高端
  • 有没有做网站的软件友情链接检测结果
  • 张家港市凤凰镇建设局网站seo西安
  • 咪咪做网站短视频营销推广方式
  • java 框架用来做网站seo网络排名优化方法
  • 网页微信版官网登录密码登录uc浏览器关键词排名优化