当前位置: 首页 > news >正文

深度为16,位宽8bit的单端口SRAM——学习记录

 

 链接: 

【Verilog HDL 训练】第 13 天(存储器、SRAM)-云社区-华为云

 

 module sram #(parameter ADDR_BITS=4)(input clk,input [ 7:0] addr,input [ 7:0] din,input ce,input we,output reg [ 7:0] dout);localparam MEM_DEPTH= 1<<ADDR_BITS;reg [7:0] mem[MEM_DEPTH-1:0];// synopsys_translate_offinteger i;initial beginfor(i=0; i<MEM_DEPTH;i=i+1) beginmem[i] = 8'h00;endend// synopsys_translate_onalways @(posedge clk) beginif(ce & we) beginmem[addr] <= din;endendalways @(posedge clk) beginif(ce && (!we)) begindout <= mem[addr];endendendmodule
`timescale 1ns / 1ps//// Company: // Create Date: 2019/05/16 21:04:57// Design Name: // Module Name: SRAM_tb//module sram_tb();reg [7 : 0] addr;reg [7 : 0]data_in;reg clk;reg we;reg ce;wire [7 : 0] data_out;integer i;//clock generationinitial beginclk = 0;forever#4 clk = ~clk;endinitial begince = 1'b0;we = 1'b0;addr = 4'd0;data_in = 8'h00;#20@(negedge clk)//readce = 1'b1;for (i = 0; i<16; i=i+1) begin@(negedge clk)addr = i;end@(negedge clk)//writewe = 1'b1;for (i = 0; i<16; i=i+1) begin@(negedge clk) beginaddr = i;data_in = data_in + 'h01;endend@(negedge clk)//readwe = 1'b0;for (i = 0; i<16; i=i+1) begin@(posedge clk)addr = i;end@(negedge clk)ce = 1'b0;//#100 $finish;#100 $stop;endsram #( .ADDR_BITS(4) ) u_sram(.clk(clk),.ce(ce),.we(we),.addr(addr),.din(data_in),.dout(data_out));endmodule


 

相关文章:

  • 全网通emotn ui桌面免费吗?如何开机自启动
  • leetcode:3210. 找出加密后的字符串(python3解法)
  • 淘宝商品数据高并发采集方案:API 接口限流机制与分布式调用实战
  • SnailJob:分布式环境设计的任务调度与重试平台!
  • Centos/RedHat 7.x服务器挂载ISCSI存储示例(无多路径非LVM)
  • opencv腐蚀的操作过程
  • DeepSeek高阶玩法教程:从入门到精通的实战案例
  • 晶晨线刷工具下载及易错点说明:Key文件配置错误/mac剩余数为0解决方法
  • 鸿蒙系统开发状态更新字段区别对比
  • SAP S4HANA embedded analytics
  • 【QT】 QT定时器的使用
  • RPCRT4!OsfCreateRpcAddress函数分析之AssociationBucketMutexMemory数组的填充
  • Grass.io项目现状:DePIN亮眼明星,扩张中的AI数据银行
  • C#核心学习(三)常见的泛型数据结构类(1)List和Dictionary
  • DDoS(分布式拒绝服务)攻击
  • RNN - 循环神经网络(概念介绍)
  • 通过额外的磁盘挂载进行扩容(win与linux空间共享)——linux最多也就推荐100G
  • ZEP: 一种用于智能体记忆的时序知识图谱架构
  • C#设计模式-状态模式
  • Rust-引用借用规则
  • 中央党校(国家行政学院)举行2025年春季学期第一批进修班毕业典礼
  • 吴志朴当选福建德化县人民政府县长
  • 南部战区位南海海域进行例行巡航
  • 经济日报:多平台告别“仅退款”,规则调整有何影响
  • 伊朗港口爆炸致18死800余伤,三分之二伤者已出院
  • 讲座|现代女性在面对生育、事业与家庭之间的复杂抉择