当前位置: 首页 > wzjs >正文

网站怎么seo合肥seo按天扣费

网站怎么seo,合肥seo按天扣费,购买天猫店铺网站,亚马逊网站建设评述在海康的FPGA设计岗面试中,有一道代码题,如下: 使用Verilog设计一个模块:输入3个无符号8bit数据,设计模块输出中位数,尽可能较少资源使用。 代码如下: module median_of_3 #(parameter …

在海康的FPGA设计岗面试中,有一道代码题,如下:

使用Verilog设计一个模块:输入3个无符号8bit数据,设计模块输出中位数,尽可能较少资源使用。
代码如下:

module median_of_3 #(parameter              WIDTH = 8
)(input                  clk              ,input      [WIDTH-1:0] A                ,input      [WIDTH-1:0] B                ,input      [WIDTH-1:0] C                ,output reg [WIDTH-1:0] Median_of_abc
);// definewire a_ge_b, b_ge_c, c_ge_a;reg [WIDTH-1:0] abc_median;// 比较逻辑assign a_ge_b = (A >= B) ? 1'b1 : 1'b0;assign b_ge_c = (B >= C) ? 1'b1 : 1'b0;assign c_ge_a = (C >= A) ? 1'b1 : 1'b0;// 多路选择器逻辑,不用进行全比较,节省资源always @(*) beginif (!a_ge_b && !b_ge_c &&  c_ge_a)      // A < B < Cabc_median = B;else if ( a_ge_b &&  b_ge_c && !c_ge_a) // C < B < Aabc_median = B;else if ( a_ge_b && !b_ge_c && !c_ge_a) // B < C < Aabc_median = C;else if (!a_ge_b &&  b_ge_c &&  c_ge_a) // A < C < Babc_median = C;elseabc_median = A;end// 输出寄存器:时序逻辑always @(posedge clk) beginMedian_of_abc <= abc_median;endendmodule

此外:1)在实际项目中,如果允许两级流水线延迟,可在a_ge_b, b_ge_c, c_ge_a处插入一级寄存器,增加性能;
2)修改多路选择器的逻辑关系,可变为求三个数的最大值,也可变为求三个数的最小值,消耗的资源和时序性能不变。


文章转载自:

http://YJ5iScQQ.bgrsr.cn
http://uSh2yBOu.bgrsr.cn
http://2cFNUnR2.bgrsr.cn
http://86nYlQQX.bgrsr.cn
http://YyRBKURG.bgrsr.cn
http://mVYdxAPm.bgrsr.cn
http://TbZ0Tmfo.bgrsr.cn
http://HaZFeXDY.bgrsr.cn
http://OdURANcA.bgrsr.cn
http://EwABzVv6.bgrsr.cn
http://1mqDSWe3.bgrsr.cn
http://kRXPIn63.bgrsr.cn
http://ITaAmCQr.bgrsr.cn
http://PADfqWXN.bgrsr.cn
http://KdkOVvni.bgrsr.cn
http://9Qt5utFw.bgrsr.cn
http://J3PmaJQe.bgrsr.cn
http://9m5NvBYb.bgrsr.cn
http://cK1rRLQz.bgrsr.cn
http://kK0Fa9BH.bgrsr.cn
http://pzjPe51y.bgrsr.cn
http://cshP7uOc.bgrsr.cn
http://L7kYeGFo.bgrsr.cn
http://k4KJkxm4.bgrsr.cn
http://HWVfiyzV.bgrsr.cn
http://F04CeyQX.bgrsr.cn
http://JBMZJHwv.bgrsr.cn
http://bco2dzNT.bgrsr.cn
http://7BwArQe7.bgrsr.cn
http://wF6hqCbU.bgrsr.cn
http://www.dtcms.com/wzjs/775202.html

相关文章:

  • 找百度公司做网站怎么样郑州网站建设与制作
  • 外贸网站页面用什么做最好邢台太行中学高考成绩
  • 网站建设 代理培训班设计
  • 山东网站建设口碑好在哪买网站空间
  • 网站建设如何工作我想做跑腿网站怎么做
  • 商务网站建设与维护论文石家庄专业商城网站制作
  • 宝塔面板如何安装wordpressseo免费推广软件
  • 学校网站建设对教学的意义口碑好的东莞网站建设
  • 科技部做财务决算的网站是什么wordpress 固定导航
  • 描述建设网站的一个具体步骤团购网站大全做相册
  • 做网站佛山广州邮局网站
  • 网站建设教程在线网站关键词排名消失
  • 建设网站人员制作图片的软件加字
  • wordpress08影视站佛山网站建设专业现状
  • 网站 宗旨led网站建设
  • 网站上的html内容怎么修改西塞山区建设局网站
  • 网站的作用和意义wordpress评论去掉邮箱
  • 建设一个商城式网站可以吗国内无代码和低代码平台
  • 钦州公司做网站慈溪市网站建设
  • 在线自动取名网站怎么做百度seo搜索
  • 合肥seo管理沈阳网页关键词优化
  • 百色建设网站公司宣传一般建的是网页还是网站
  • 找一些好的网站建设案例小型企业网络营销方案
  • 网站开发是用html还是jspwordpress网上在线插件
  • 国内做心理咨询师培训出名的网站自己做的网站403
  • 西安网站建设网wordpress禁止搜索页面
  • 安徽网新科技有限公司 网站开发网站备案填写要求吗
  • vs做网站登录界面大型网站的空间
  • wordpress 网站提速无锡自助做网站
  • 如何自建网站做外贸文化馆建设网站